OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-altera-ep3sl150/] [leon3mp.sdc] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
## Generated SDC file "leon3mp.out.sdc"
2
 
3
## Copyright (C) 1991-2007 Altera Corporation
4
## Your use of Altera Corporation's design tools, logic functions
5
## and other software and tools, and its AMPP partner logic
6
## functions, and any output files from any of the foregoing
7
## (including device programming or simulation files), and any
8
## associated documentation or information are expressly subject
9
## to the terms and conditions of the Altera Program License
10
## Subscription Agreement, Altera MegaCore Function License
11
## Agreement, or other applicable license agreement, including,
12
## without limitation, that your use is for the sole purpose of
13
## programming logic devices manufactured by Altera and sold by
14
## Altera or its authorized distributors.  Please refer to the
15
## applicable agreement for further details.
16
 
17
 
18
## VENDOR  "Altera"
19
## PROGRAM "Quartus II"
20
## VERSION "Version 7.2 Build 207 03/18/2008 Service Pack 3 SJ Full Version"
21
 
22
## DATE    "Mon Jun  9 19:07:46 2008"
23
 
24
##
25
## DEVICE  "EP3SL150F1152C2"
26
##
27
 
28
 
29
#**************************************************************
30
# Time Information
31
#**************************************************************
32
 
33
set_time_format -unit ns -decimal_places 3
34
 
35
 
36
 
37
#**************************************************************
38
# Create Clock
39
#**************************************************************
40
 
41
create_clock -name {clk} -period 20.000 -waveform { 0.000 10.000 } [get_ports {clk}] -add
42
create_clock -name {clk125} -period 8.000 -waveform { 0.000 4.000 } [get_ports {clk125}] -add
43
create_clock -name {phy_rx_clk} -period 40.000 -waveform { 0.000 20.000 } [get_ports {phy_rx_clk}] -add
44
create_clock -name {phy_tx_clk} -period 40.000 -waveform { 0.000 20.000 } [get_ports {phy_tx_clk}] -add
45
 
46
 
47
#**************************************************************
48
# Create Generated Clock
49
#**************************************************************
50
#create_generated_clock -name {ddr_clk0} -source [get_nets {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|\ddrclocks:0:ddrclk_pad|clk_reg}] -master_clock {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]} -invert [get_ports {ddr_clk[0]}] -add
51
create_generated_clock -name {ddr_clk0} -source [get_nets {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|\ddrclocks:0:ddrclk_pad|clk_reg}] -master_clock {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]} [get_ports {ddr_clk[0]}] -add
52
#create_generated_clock -name {ddr_clk1} -source [get_nets {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|\ddrclocks:0:ddrclk_pad|clk_reg}] -master_clock {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]} [get_ports {ddr_clk[1]}] -add
53
#create_generated_clock -name {ddr_clk2} -source [get_nets {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|\ddrclocks:0:ddrclk_pad|clk_reg}] -master_clock {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]} [get_ports {ddr_clk[2]}] -add
54
 
55
 
56
#create_generated_clock -name {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]} -source [get_pins {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|inclk[0]}] -duty_cycle 50.000 -multiply_by 6 -divide_by 5 -master_clock {clk125} [get_pins {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}] -add
57
#create_generated_clock -name {clk0} -source [get_nets {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|wire_pll1_clk[0]}] -master_clock {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]} -add
58
derive_pll_clocks
59
 
60
 
61
#**************************************************************
62
# Set Clock Latency
63
#**************************************************************
64
 
65
 
66
 
67
#**************************************************************
68
# Set Clock Uncertainty
69
#**************************************************************
70
 
71
 
72
 
73
#**************************************************************
74
# Set Input Delay
75
#**************************************************************
76
set_input_delay -add_delay  -clock [get_clocks {phy_rx_clk}] 10.000 [get_ports {*}]
77
set_input_delay -add_delay  -clock [get_clocks {phy_tx_clk}] 10.000 [get_ports {*}]
78
 
79
 
80
 
81
#**************************************************************
82
# Set Output Delay
83
#**************************************************************
84
set_output_delay -add_delay  -clock [get_clocks {phy_tx_clk}] 20.000 [get_ports {*}]
85
 
86
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_casb}]
87
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_casb}]
88
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_rasb}]
89
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_rasb}]
90
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_web}]
91
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_web}]
92
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_odt[0]}]
93
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_odt[0]}]
94
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_odt[1]}]
95
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_odt[1]}]
96
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_cke[0]}]
97
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_cke[0]}]
98
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_cke[1]}]
99
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_cke[1]}]
100
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_csb[0]}]
101
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_csb[0]}]
102
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_csb[1]}]
103
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_csb[1]}]
104
 
105
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_ba[0]}]
106
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_ba[0]}]
107
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_ba[1]}]
108
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_ba[1]}]
109
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_ba[2]}]
110
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_ba[2]}]
111
 
112
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_ad[0]}]
113
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_ad[0]}]
114
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_ad[1]}]
115
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_ad[1]}]
116
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_ad[2]}]
117
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_ad[2]}]
118
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_ad[3]}]
119
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_ad[3]}]
120
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_ad[4]}]
121
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_ad[4]}]
122
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_ad[5]}]
123
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_ad[5]}]
124
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_ad[6]}]
125
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_ad[6]}]
126
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_ad[7]}]
127
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_ad[7]}]
128
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_ad[8]}]
129
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_ad[8]}]
130
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_ad[9]}]
131
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_ad[9]}]
132
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_ad[10]}]
133
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_ad[10]}]
134
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_ad[11]}]
135
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_ad[11]}]
136
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_ad[12]}]
137
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_ad[12]}]
138
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_ad[13]}]
139
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_ad[13]}]
140
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_ad[14]}]
141
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_ad[14]}]
142
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -max 0.620 [get_ports {ddr_ad[15]}]
143
set_output_delay -add_delay  -clock [get_clocks {ddr_clk0}] -min -0.620 [get_ports {ddr_ad[15]}]
144
 
145
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[0]}]
146
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[1]}]
147
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[2]}]
148
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[3]}]
149
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[4]}]
150
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[5]}]
151
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[6]}]
152
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[7]}]
153
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[8]}]
154
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[9]}]
155
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[10]}]
156
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[11]}]
157
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[12]}]
158
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[13]}]
159
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[14]}]
160
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[15]}]
161
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[16]}]
162
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[17]}]
163
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[18]}]
164
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[19]}]
165
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[20]}]
166
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[21]}]
167
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[22]}]
168
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[23]}]
169
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[24]}]
170
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[25]}]
171
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[26]}]
172
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[27]}]
173
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[28]}]
174
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[29]}]
175
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[30]}]
176
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[31]}]
177
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[32]}]
178
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[33]}]
179
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[34]}]
180
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[35]}]
181
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[36]}]
182
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[37]}]
183
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[38]}]
184
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[39]}]
185
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[40]}]
186
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[41]}]
187
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[42]}]
188
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[43]}]
189
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[44]}]
190
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[45]}]
191
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[46]}]
192
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[47]}]
193
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[48]}]
194
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[49]}]
195
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[50]}]
196
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[51]}]
197
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[52]}]
198
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[53]}]
199
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[54]}]
200
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[55]}]
201
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[56]}]
202
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[57]}]
203
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[58]}]
204
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[59]}]
205
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[60]}]
206
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[61]}]
207
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[62]}]
208
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dq[63]}]
209
 
210
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dm[0]}]
211
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dm[1]}]
212
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dm[2]}]
213
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dm[3]}]
214
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dm[4]}]
215
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dm[5]}]
216
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dm[6]}]
217
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_dm[7]}]
218
 
219
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[1]}]  0.600 [get_ports {ddr_dqsp[0]}]
220
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[1]}]  0.600 [get_ports {ddr_dqsp[1]}]
221
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[1]}]  0.600 [get_ports {ddr_dqsp[2]}]
222
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[1]}]  0.600 [get_ports {ddr_dqsp[3]}]
223
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[1]}]  0.600 [get_ports {ddr_dqsp[4]}]
224
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[1]}]  0.600 [get_ports {ddr_dqsp[5]}]
225
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[1]}]  0.600 [get_ports {ddr_dqsp[6]}]
226
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[1]}]  0.600 [get_ports {ddr_dqsp[7]}]
227
 
228
set_output_delay -add_delay  -clock_fall -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[1]}]  0.600 [get_ports {ddr_dqsn[0]}]
229
set_output_delay -add_delay  -clock_fall -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[1]}]  0.600 [get_ports {ddr_dqsn[1]}]
230
set_output_delay -add_delay  -clock_fall -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[1]}]  0.600 [get_ports {ddr_dqsn[2]}]
231
set_output_delay -add_delay  -clock_fall -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[1]}]  0.600 [get_ports {ddr_dqsn[3]}]
232
set_output_delay -add_delay  -clock_fall -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[1]}]  0.600 [get_ports {ddr_dqsn[4]}]
233
set_output_delay -add_delay  -clock_fall -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[1]}]  0.600 [get_ports {ddr_dqsn[5]}]
234
set_output_delay -add_delay  -clock_fall -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[1]}]  0.600 [get_ports {ddr_dqsn[6]}]
235
set_output_delay -add_delay  -clock_fall -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[1]}]  0.600 [get_ports {ddr_dqsn[7]}]
236
 
237
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_clk[0]}]
238
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_clk[1]}]
239
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_clk[2]}]
240
 
241
set_output_delay -add_delay  -clock_fall -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_clk[0]}]
242
set_output_delay -add_delay  -clock_fall -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_clk[1]}]
243
set_output_delay -add_delay  -clock_fall -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_clk[2]}]
244
 
245
set_output_delay -add_delay  -clock_fall -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_clkb[0]}]
246
set_output_delay -add_delay  -clock_fall -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_clkb[1]}]
247
set_output_delay -add_delay  -clock_fall -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.600 [get_ports {ddr_clkb[2]}]
248
 
249
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_cke[0]}]
250
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_cke[1]}]
251
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_csb[0]}]
252
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_csb[1]}]
253
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_odt[0]}]
254
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_odt[1]}]
255
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_web}]
256
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_rasb}]
257
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_casb}]
258
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_ad[0]}]
259
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_ad[1]}]
260
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_ad[2]}]
261
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_ad[3]}]
262
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_ad[4]}]
263
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_ad[5]}]
264
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_ad[6]}]
265
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_ad[7]}]
266
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_ad[8]}]
267
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_ad[9]}]
268
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_ad[10]}]
269
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_ad[11]}]
270
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_ad[12]}]
271
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_ad[13]}]
272
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_ad[14]}]
273
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_ad[15]}]
274
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_ba[0]}]
275
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_ba[1]}]
276
set_output_delay -add_delay  -clock [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]  0.620 [get_ports {ddr_ba[2]}]
277
 
278
 
279
#**************************************************************
280
# Set Clock Groups
281
#**************************************************************
282
 
283
set_clock_groups -exclusive -group [get_clocks {clk}]
284
set_clock_groups -exclusive -group [get_clocks {clk125}]
285
set_clock_groups -exclusive -group [get_clocks {phy_rx_clk}]
286
set_clock_groups -exclusive -group [get_clocks {phy_tx_clk}]
287
 
288
 
289
#**************************************************************
290
# Set False Path
291
#**************************************************************
292
 
293
set_false_path  -from  [get_clocks {clk125}]  -to  [get_clocks {\ddrsp0:ddrc0|ddr_phy0|ddr_phy0|\stra3:ddr_phy0|pll0|altpll_component|auto_generated|pll1|clk[0]}]
294
 
295
 
296
#**************************************************************
297
# Set Multicycle Path
298
#**************************************************************
299
 
300
 
301
 
302
#**************************************************************
303
# Set Maximum Delay
304
#**************************************************************
305
 
306
 
307
 
308
#**************************************************************
309
# Set Minimum Delay
310
#**************************************************************
311
 
312
 
313
 
314
#**************************************************************
315
# Set Input Transition
316
#**************************************************************
317
 
318
 
319
 
320
#**************************************************************
321
# Set Load
322
#**************************************************************
323
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.