OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-altera-ep3sl150/] [leon3mp.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  LEON3 Demonstration design
3
--  Copyright (C) 2004 Jiri Gaisler, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
18
 
19
 
20
library ieee;
21
use ieee.std_logic_1164.all;
22
library grlib;
23
use grlib.amba.all;
24
use grlib.stdlib.all;
25
library techmap;
26
use techmap.gencomp.all;
27
library gaisler;
28
use gaisler.memctrl.all;
29
use gaisler.leon3.all;
30
use gaisler.uart.all;
31
use gaisler.net.all;
32
use gaisler.misc.all;
33
use gaisler.jtag.all;
34
library esa;
35
use esa.memoryctrl.all;
36
use work.config.all;
37
 
38
entity leon3mp is
39
  generic (
40
    fabtech : integer := CFG_FABTECH;
41
    memtech : integer := CFG_MEMTECH;
42
    padtech : integer := CFG_PADTECH;
43
    clktech : integer := CFG_CLKTECH;
44
    ncpu    : integer := CFG_NCPU;
45
    disas   : integer := CFG_DISAS;     -- Enable disassembly to console
46
    dbguart : integer := CFG_DUART;     -- Print UART on console
47
    pclow   : integer := CFG_PCLOW;
48
    freq    : integer := 50000;         -- frequency of main clock (used for PLLs)
49
    dbits   : integer := CFG_DDR2SP_DATAWIDTH
50
    );
51
  port (
52
 
53
    resetn  : in  std_ulogic;
54
    clk     : in  std_ulogic;
55
    clk125  : in  std_ulogic;
56
    errorn  : out   std_ulogic;
57
 
58
    -- debug support unit
59
    dsubren             : in  std_ulogic;
60
    dsuact              : out std_ulogic;
61
 
62
    -- console/debug UART
63
    --rxd1 : in  std_logic;
64
    --txd1 : out std_logic;
65
 
66
    gpio         : in std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0);     -- I/O port
67
 
68
    -- flash/ssram bus
69
    address : out   std_logic_vector(24 downto 0);
70
    data    : inout std_logic_vector(31 downto 0);
71
 
72
    rstoutn     : out std_ulogic;
73
    sram_advn   : out std_ulogic;
74
    sram_csn    : out std_logic;
75
    sram_wen      : out std_logic;
76
    sram_ben    : out std_logic_vector (0 to 3);
77
    sram_oen    : out std_ulogic;
78
    sram_clk    : out std_ulogic;
79
    sram_psn    : out std_ulogic;
80
    sram_wait   : in std_logic_vector(1 downto 0);
81
 
82
    flash_clk   : out std_ulogic;
83
    flash_advn  : out std_logic;
84
    flash_cen   : out std_logic;
85
    flash_oen   : out std_logic;
86
    flash_resetn: out std_logic;
87
    flash_wen   : out std_logic;
88
 
89
    max_csn     : out std_logic;
90
 
91
--    sram_adsp_n : out std_ulogic;
92
 
93
-- pragma translate_off
94
    iosn    : out   std_ulogic;
95
-- pragma translate_on
96
 
97
    ddr_clk     : out std_logic_vector(2 downto 0);
98
    ddr_clkb    : out std_logic_vector(2 downto 0);
99
    ddr_cke     : out std_logic_vector(1 downto 0);
100
    ddr_csb     : out std_logic_vector(1 downto 0);
101
    ddr_odt     : out std_logic_vector(1 downto 0);
102
    ddr_web     : out std_ulogic;                       -- ddr write enable
103
    ddr_rasb    : out std_ulogic;                       -- ddr ras
104
 
105
    ddr_casb    : out std_ulogic;                       -- ddr cas
106
    ddr_dm      : out std_logic_vector (8 downto 0);    -- ddr dm
107
    ddr_dqsp    : inout std_logic_vector (8 downto 0);    -- ddr dqs
108
    ddr_dqsn    : inout std_logic_vector (8 downto 0);    -- ddr dqs
109
    ddr_ad      : out std_logic_vector (15 downto 0);   -- ddr address
110
    ddr_ba      : out std_logic_vector (2 downto 0);    -- ddr bank address
111
    ddr_dq      : inout std_logic_vector (71 downto 0); -- ddr data
112
 
113
--    ddra_cke          : out std_logic;
114
    ddra_csb    : out std_logic;
115
--    ddra_web    : out std_ulogic;                       -- ddr write enable
116
--    ddra_rasb         : out std_ulogic;                       -- ddr ras
117
--    ddra_casb   : out std_ulogic;                       -- ddr cas
118
--    ddra_ad     : out std_logic_vector (14 downto 0);   -- ddr address
119
--    ddra_ba     : out std_logic_vector (2 downto 0);    -- ddr bank address
120
--    
121
--    ddrb_cke          : out std_logic;
122
    ddrb_csb    : out std_logic;
123
--    ddrb_web    : out std_ulogic;                       -- ddr write enable
124
--    ddrb_rasb         : out std_ulogic;                       -- ddr ras
125
--    ddrb_casb   : out std_ulogic;                       -- ddr cas
126
--    ddrb_ad     : out std_logic_vector (14 downto 0);   -- ddr address
127
--    ddrb_ba     : out std_logic_vector (2 downto 0);    -- ddr bank address
128
--    
129
--    ddrab_clk         : inout std_logic_vector(1 downto 0);
130
--    ddrab_clkb  : inout std_logic_vector(1 downto 0);
131
--    ddrab_odt         : out std_logic_vector(1 downto 0);
132
--    ddrab_dqsp  : inout std_logic_vector(1 downto 0);   -- ddr dqs
133
--    ddrab_dqsn  : inout std_logic_vector(1 downto 0);   -- ddr dqs
134
--    ddrab_dm          : out std_logic_vector(1 downto 0);     -- ddr dm
135
--    ddrab_dq    : inout std_logic_vector (15 downto 0);-- ddr data
136
 
137
    phy_gtx_clk : out std_logic;
138
    phy_mii_data: inout std_logic;              -- ethernet PHY interface
139
    phy_tx_clk  : in std_ulogic;
140
    phy_rx_clk  : in std_ulogic;
141
    phy_rx_data : in std_logic_vector(7 downto 0);
142
    phy_dv      : in std_ulogic;
143
    phy_rx_er   : in std_ulogic;
144
    phy_col     : in std_ulogic;
145
    phy_crs     : in std_ulogic;
146
    phy_tx_data : out std_logic_vector(7 downto 0);
147
    phy_tx_en   : out std_ulogic;
148
    phy_tx_er   : out std_ulogic;
149
    phy_mii_clk : out std_ulogic;
150
    phy_rst_n   : out std_ulogic
151
 
152
    );
153
end;
154
 
155
architecture rtl of leon3mp is
156
 
157
  constant blength   : integer := 12;
158
  constant fifodepth : integer := 8;
159
 
160
  constant maxahbm : integer := NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH;
161
 
162
  signal vcc, gnd    : std_logic_vector(7 downto 0);
163
  signal memi, smemi : memory_in_type;
164
  signal memo, smemo : memory_out_type;
165
  signal wpo         : wprot_out_type;
166
 
167
  signal ddsi  : ddrmem_in_type;
168
  signal ddso  : ddrmem_out_type;
169
 
170
  signal ddrclkfb, ssrclkfb, ddr_clkl, ddr_clk90l, ddr_clknl, ddr_clk270l : std_ulogic;
171
  signal ddr_clkv       : std_logic_vector(2 downto 0);
172
  signal ddr_clkbv      : std_logic_vector(2 downto 0);
173
  signal ddr_ckev       : std_logic_vector(1 downto 0);
174
  signal ddr_csbv       : std_logic_vector(1 downto 0);
175
  signal ddr_adl        : std_logic_vector (13 downto 0);
176
  signal clklock, lock, clkml, rst, ndsuact : std_ulogic;
177
  signal tck, tckn, tms, tdi, tdo : std_ulogic;
178
  signal ddrclk, ddrrst : std_ulogic;
179
  signal ddr_clk_fb     : std_ulogic;
180
 
181
--  -- DDR2 Device A&B
182
--  signal ddrab_clkv   : std_logic_vector(2 downto 0);
183
--  signal ddrab_clkbv  : std_logic_vector(2 downto 0);
184
--  signal ddra_ckev    : std_logic_vector(1 downto 0);
185
--  signal ddra_csbv    : std_logic_vector(1 downto 0);
186
--  signal ddrb_ckev    : std_logic_vector(1 downto 0);
187
--  signal ddrb_csbv    : std_logic_vector(1 downto 0);
188
--  signal lockab       : std_logic;
189
--  signal clkmlab      : std_logic;
190
 
191
--  attribute syn_keep : boolean;
192
--  attribute syn_preserve : boolean;
193
--  attribute syn_keep of clkml : signal is true;
194
--  attribute syn_preserve of clkml : signal is true;
195
 
196
  signal apbi  : apb_slv_in_type;
197
  signal apbo  : apb_slv_out_vector := (others => apb_none);
198
  signal ahbsi : ahb_slv_in_type;
199
  signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
200
  signal ahbmi : ahb_mst_in_type;
201
  signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
202
 
203
  signal clkm, rstn, sram_clkl : std_ulogic;
204
  signal cgi,cgi2           : clkgen_in_type;
205
  signal cgo,cgo2           : clkgen_out_type;
206
  signal u1i, dui           : uart_in_type;
207
  signal u1o, duo           : uart_out_type;
208
 
209
  signal irqi : irq_in_vector(0 to NCPU-1);
210
  signal irqo : irq_out_vector(0 to NCPU-1);
211
 
212
  signal dbgi : l3_debug_in_vector(0 to NCPU-1);
213
  signal dbgo : l3_debug_out_vector(0 to NCPU-1);
214
 
215
  signal dsui : dsu_in_type;
216
  signal dsuo : dsu_out_type;
217
 
218
  signal ethi, ethi1, ethi2 : eth_in_type;
219
  signal etho, etho1, etho2 : eth_out_type;
220
  signal ethclk, egtx_clk_fb : std_ulogic;
221
  signal egtx_clk, legtx_clk, l2egtx_clk : std_ulogic;
222
 
223
  signal gpti : gptimer_in_type;
224
  signal gpioi : gpio_in_type;
225
  signal gpioo : gpio_out_type;
226
 
227
  constant IOAEN : integer := 1;
228
  constant BOARD_FREQ : integer := 50000;   -- input frequency in KHz
229
  constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV;  -- cpu frequency in KHz
230
 
231
  signal lclk, lclkout, lclk125, clkm125  : std_ulogic;
232
 
233
  signal dsubre : std_ulogic;
234
 
235
begin
236
 
237
----------------------------------------------------------------------
238
---  Reset and Clock generation  -------------------------------------
239
----------------------------------------------------------------------
240
 
241
  vcc <= (others => '1'); gnd <= (others => '0');
242
  cgi.pllctrl <= "00"; cgi.pllrst <= not resetn; cgi.pllref <= '0';
243
  cgi2.pllctrl <= "00"; cgi2.pllrst <= not resetn; cgi2.pllref <= '0';
244
 
245
  clklock <=  cgo.clklock and lock;
246
  clk_pad : clkpad generic map (tech => padtech) port map (clk, lclk);
247
 
248
  clk125_pad : clkpad generic map (tech => padtech) port map (clk125, lclk125);
249
 
250
  clkgen0 : clkgen  -- clock generator using toplevel generic 'freq'
251
    generic map (tech => CFG_CLKTECH, clk_mul => CFG_CLKMUL,
252
                 clk_div => CFG_CLKDIV, sdramen => 1,
253
                 freq => freq)
254
    port map (clkin => lclk, pciclkin => gnd(0), clk => clkm, clkn => open,
255
              clk2x => open, sdclk => sram_clkl, pciclk => open,
256
              cgi => cgi, cgo => cgo);
257
  clkm125 <= lclk125;
258
  phy_gtx_clk <= lclk125;
259
 
260
  ssrclk_pad : outpad generic map (tech => padtech, slew => 1, strength => 24)
261
    port map (sram_clk, sram_clkl);
262
 
263
  flashclk_pad : outpad generic map (tech => padtech, slew => 1, strength => 24)
264
    port map (flash_clk, sram_clkl);
265
 
266
  rst0 : rstgen                         -- reset generator
267
    port map (resetn, clkm, clklock, rstn);
268
 
269
  rstoutn <= resetn;
270
 
271
---------------------------------------------------------------------- 
272
---  AHB CONTROLLER --------------------------------------------------
273
----------------------------------------------------------------------
274
 
275
  ahb0 : ahbctrl                -- AHB arbiter/multiplexer
276
  generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
277
        rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO,
278
        ioen => IOAEN, nahbm => maxahbm, nahbs => 8)
279
  port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
280
 
281
----------------------------------------------------------------------
282
---  LEON3 processor and DSU -----------------------------------------
283
----------------------------------------------------------------------
284
 
285
  l3 : if CFG_LEON3 = 1 generate
286
    cpu : for i in 0 to NCPU-1 generate
287
      u0 : leon3s                         -- LEON3 processor
288
        generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
289
                   0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
290
                   CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
291
                   CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
292
                   CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
293
                   CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, NCPU-1)
294
        port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
295
                irqi(i), irqo(i), dbgi(i), dbgo(i));
296
    end generate;
297
    errorn_pad : outpad generic map (tech => padtech) port map (errorn, dbgo(0).error);
298
 
299
    dsugen : if CFG_DSU = 1 generate
300
      dsu0 : dsu3                         -- LEON3 Debug Support Unit
301
        generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
302
                   ncpu   => NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
303
        port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
304
 
305
      dsui.enable <= '1';
306
 
307
      dsubre_pad : inpad generic map (tech  => padtech) port map (dsubre, dsui.break);
308
      dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active);
309
    end generate;
310
  end generate;
311
  nodcom : if CFG_DSU = 0 generate ahbso(2) <= ahbs_none; end generate;
312
 
313
--  dcomgen : if CFG_AHB_UART = 1 generate
314
--    dcom0 : ahbuart                     -- Debug UART
315
--      generic map (hindex => NCPU, pindex => 4, paddr => 7)
316
--      port map (rstn, clkm, dui, duo, apbi, apbo(4), ahbmi, ahbmo(NCPU));
317
--    dsurx_pad : inpad generic map (tech  => padtech) port map (rxd1, dui.rxd);
318
--    dsutx_pad : outpad generic map (tech => padtech) port map (txd1, duo.txd);
319
--  end generate;
320
--  nouah : if CFG_AHB_UART = 0 generate apbo(4) <= apb_none; end generate;
321
 
322
  ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
323
    ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => NCPU+CFG_AHB_UART)
324
      port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(NCPU+CFG_AHB_UART),
325
               open, open, open, open, open, open, open, gnd(0));
326
  end generate;
327
 
328
----------------------------------------------------------------------
329
---  Memory controllers ----------------------------------------------
330
----------------------------------------------------------------------
331
 
332
  mg2 : if CFG_MCTRL_LEON2 = 1 generate         -- LEON2 memory controller
333
    sr1 :mctrl generic map (hindex => 0, pindex => 0, paddr => 0,
334
        ramaddr => 16#a00#, rammask =>16#F00#, srbanks => 1,
335
        sden => 0, ram16 => 1)
336
    port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo);
337
  end generate;
338
 
339
  memi.brdyn  <= '1'; memi.bexcn <= '1';
340
  memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "01";
341
 
342
  mg0 : if (CFG_MCTRL_LEON2 + CFG_SSCTRL) = 0 generate   -- no prom/sram pads
343
    apbo(0) <= apb_none; ahbso(0) <= ahbs_none;
344
    srams_pad : outpad generic map ( tech => padtech)
345
      port map (sram_csn, vcc(0));
346
    flash_cen_pad : outpad generic map (tech => padtech)
347
            port map (flash_cen, vcc(0));
348
  end generate;
349
 
350
  mgpads : if (CFG_MCTRL_LEON2 + CFG_SSCTRL) /= 0 generate       -- prom/sram pads
351
    addr_pad : outpadv generic map (width => 25, tech => padtech)
352
      port map (address, memo.address(25 downto 1));
353
    srams_pad : outpad generic map ( tech => padtech)
354
      port map (sram_csn, memo.ramsn(0));
355
    sram_oen_pad  : outpad generic map (tech => padtech)
356
      port map (sram_oen, memo.oen);
357
    sram_rwen_pad : outpadv generic map (width => 4, tech => padtech)
358
      port map (sram_ben, memo.wrn);
359
    sram_wri_pad  : outpad generic map (tech => padtech)
360
      port map (sram_wen, memo.writen);
361
    data_pad : iopadvv generic map (tech => padtech, width => 32)
362
        port map (data(31 downto 0), memo.data(31 downto 0),
363
                  memo.vbdrive, memi.data(31 downto 0));
364
    sram_advn_pad : outpad generic map (tech => padtech)
365
            port map (sram_advn, gnd(0));
366
    sram_psn_pad : outpad generic map (tech => padtech)
367
            port map (sram_psn, vcc(0));
368
    flash_advn_pad : outpad generic map (tech => padtech)
369
            port map (flash_advn, gnd(0));
370
    flash_cen_pad : outpad generic map (tech => padtech)
371
            port map (flash_cen, memo.romsn(0));
372
    flash_oen_pad  : outpad generic map (tech => padtech)
373
      port map (flash_oen, memo.oen);
374
    flash_wri_pad  : outpad generic map (tech => padtech)
375
      port map (flash_wen, memo.writen);
376
    flash_reset_pad  : outpad generic map (tech => padtech)
377
      port map (flash_resetn, resetn);
378
 
379
-- pragma translate_off
380
   iosn_pad : outpad generic map (tech => padtech)
381
      port map (iosn, memo.iosn);
382
-- pragma translate_on
383
 
384
  end generate;
385
 
386
  max_csn_pad : outpad generic map (tech => padtech)
387
          port map (max_csn, vcc(0));
388
 
389
  ddrsp0 : if (CFG_DDR2SP /= 0) generate
390
    ddrc0 : ddr2spa generic map ( fabtech => fabtech,
391
                                  memtech => memtech,
392
      hindex => 3, haddr => 16#400#, hmask => 16#C00#, ioaddr => 1,
393
      pwron => CFG_DDR2SP_INIT, MHz => 125000/1000, rskew => 0, TRFC => CFG_DDR2SP_TRFC,
394
      clkmul => (CFG_DDR2SP_FREQ*5)/125, clkdiv => 5, ahbfreq => CPU_FREQ/1000,
395
      col => CFG_DDR2SP_COL, Mbyte => CFG_DDR2SP_SIZE, ddrbits => dbits,
396
      ddelayb0 => CFG_DDR2SP_DELAY0, ddelayb1 => CFG_DDR2SP_DELAY1,
397
      ddelayb2 => CFG_DDR2SP_DELAY2, ddelayb3 => CFG_DDR2SP_DELAY3,
398
      ddelayb4 => CFG_DDR2SP_DELAY4, ddelayb5 => CFG_DDR2SP_DELAY5,
399
      ddelayb6 => CFG_DDR2SP_DELAY6, ddelayb7 => CFG_DDR2SP_DELAY7,
400
      numidelctrl => 3, norefclk => 0, odten => 3, readdly => 1)
401
    port map ( resetn, rstn, clkm125, clkm, clkm125, lock, clkml, clkml, ahbsi, ahbso(3),
402
               ddr_clkv, ddr_clkbv, ddr_clk_fb, ddr_clk_fb, ddr_ckev, ddr_csbv, ddr_web, ddr_rasb, ddr_casb,
403
               ddr_dm(dbits/8-1 downto 0), ddr_dqsp(dbits/8-1 downto 0), ddr_dqsn(dbits/8-1 downto 0),
404
               ddr_ad(13 downto 0), ddr_ba(1 downto 0), ddr_dq(dbits-1 downto 0), ddr_odt);
405
    ddr_clk <= ddr_clkv(2 downto 0); ddr_clkb <= ddr_clkbv(2 downto 0);
406
    ddr_cke <= ddr_ckev(1 downto 0); ddr_csb <= ddr_csbv(1 downto 0);
407
    ddr_ad(15 downto 14) <= (others => '0');
408
    ddr_ba(2) <= '0';
409
  end generate;
410
 
411
  noddr :  if (CFG_DDR2SP = 0) generate lock <= '1'; end generate;
412
 
413
  -- Disable DDR2 Device A and B
414
  ddra_csb <= '1';
415
  ddrb_csb <= '1';
416
 
417
-----------------------------------------------------------------------
418
---  ETHERNET ---------------------------------------------------------
419
-----------------------------------------------------------------------
420
 
421
    eth1 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
422
      e1 : grethm generic map(hindex => NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
423
        pindex => 11, paddr => 11, pirq => 12, memtech => memtech,
424
        mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
425
        nsync => 2, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
426
        macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL, phyrstadr => 18,
427
        ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL, giga => CFG_GRETH1G)
428
      port map( rst => rstn, clk => clkm, ahbmi => ahbmi,
429
        ahbmo => ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG),
430
        apbi => apbi, apbo => apbo(11), ethi => ethi, etho => etho);
431
 
432
      emdio_pad : iopad generic map (tech => padtech)
433
      port map (phy_mii_data, etho.mdio_o, etho.mdio_oe, ethi.mdio_i);
434
      etxc_pad : clkpad generic map (tech => padtech, arch => 2)
435
        port map (phy_tx_clk, ethi.tx_clk);
436
      erxc_pad : clkpad generic map (tech => padtech, arch => 2)
437
        port map (phy_rx_clk, ethi.rx_clk);
438
      erxd_pad : inpadv generic map (tech => padtech, width => 8)
439
        port map (phy_rx_data, ethi.rxd(7 downto 0));
440
      erxdv_pad : inpad generic map (tech => padtech)
441
        port map (phy_dv, ethi.rx_dv);
442
      erxer_pad : inpad generic map (tech => padtech)
443
        port map (phy_rx_er, ethi.rx_er);
444
      erxco_pad : inpad generic map (tech => padtech)
445
        port map (phy_col, ethi.rx_col);
446
      erxcr_pad : inpad generic map (tech => padtech)
447
        port map (phy_crs, ethi.rx_crs);
448
 
449
      etxd_pad : outpadv generic map (tech => padtech, width => 8)
450
        port map (phy_tx_data, etho.txd(7 downto 0));
451
      etxen_pad : outpad generic map (tech => padtech)
452
        port map ( phy_tx_en, etho.tx_en);
453
      etxer_pad : outpad generic map (tech => padtech)
454
        port map (phy_tx_er, etho.tx_er);
455
      emdc_pad : outpad generic map (tech => padtech)
456
        port map (phy_mii_clk, etho.mdc);
457
      erst_pad : outpad generic map (tech => padtech)
458
        port map (phy_rst_n, rstn);
459
 
460
      ethi.gtx_clk <= egtx_clk;
461
 
462
    end generate;
463
 
464
----------------------------------------------------------------------
465
---  APB Bridge and various periherals -------------------------------
466
----------------------------------------------------------------------
467
 
468
  apb0 : apbctrl                        -- AHB/APB bridge
469
    generic map (hindex => 1, haddr => CFG_APBADDR)
470
    port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo);
471
 
472
  ua1 : if CFG_UART1_ENABLE /= 0 generate
473
    uart1 : apbuart                     -- UART 1
474
      generic map (pindex   => 1, paddr => 1, pirq => 2, console => dbguart,
475
                   fifosize => CFG_UART1_FIFO)
476
      port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
477
    u1i.ctsn <= '0'; u1i.extclk <= '0';
478
    -- loopback
479
    u1i.rxd <= u1o.txd;
480
    --upads : if CFG_AHB_UART = 0 generate
481
    --  u1i.rxd <= rxd1; txd1 <= u1o.txd;
482
    --end generate;
483
  end generate;
484
  noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
485
 
486
  irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
487
    irqctrl0 : irqmp                    -- interrupt controller
488
      generic map (pindex => 2, paddr => 2, ncpu => NCPU)
489
      port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
490
  end generate;
491
  irq3 : if CFG_IRQ3_ENABLE = 0 generate
492
    x : for i in 0 to NCPU-1 generate
493
      irqi(i).irl <= "0000";
494
    end generate;
495
    apbo(2) <= apb_none;
496
  end generate;
497
 
498
  gpt : if CFG_GPT_ENABLE /= 0 generate
499
    timer0 : gptimer                    -- timer unit
500
      generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
501
        sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
502
        nbits  => CFG_GPT_TW)
503
      port map (rstn, clkm, apbi, apbo(3), gpti, open);
504
    gpti.dhalt <= dsuo.active; gpti.extclk <= '0';
505
  end generate;
506
  notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
507
 
508
  gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate     -- GPIO unit
509
    grgpio0: grgpio
510
    generic map(pindex => 5, paddr => 5, imask => CFG_GRGPIO_IMASK, nbits => CFG_GRGPIO_WIDTH)
511
    port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(5),
512
    gpioi => gpioi, gpioo => gpioo);
513
    pio_pads : for i in 0 to CFG_GRGPIO_WIDTH-1 generate
514
        gpioi.din(i) <= gpio(i);
515
    end generate;
516
  end generate;
517
 
518
-----------------------------------------------------------------------
519
---  AHB ROM ----------------------------------------------------------
520
-----------------------------------------------------------------------
521
 
522
  bpromgen : if CFG_AHBROMEN /= 0 generate
523
    brom : entity work.ahbrom
524
      generic map (hindex => 6, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
525
      port map ( rstn, clkm, ahbsi, ahbso(6));
526
  end generate;
527
  nobpromgen : if CFG_AHBROMEN = 0 generate
528
     ahbso(6) <= ahbs_none;
529
  end generate;
530
 
531
-----------------------------------------------------------------------
532
---  AHB RAM ----------------------------------------------------------
533
-----------------------------------------------------------------------
534
 
535
  ahbramgen : if CFG_AHBRAMEN = 1 generate
536
    ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR,
537
                                  tech   => CFG_MEMTECH, kbytes => CFG_AHBRSZ)
538
      port map (rstn, clkm, ahbsi, ahbso(7));
539
  end generate;
540
  nram : if CFG_AHBRAMEN = 0 generate ahbso(7) <= ahbs_none; end generate;
541
 
542
-----------------------------------------------------------------------
543
---  Drive unused bus elements  ---------------------------------------
544
-----------------------------------------------------------------------
545
 
546
  nam1 : for i in (NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH) to NAHBMST-1 generate
547
    ahbmo(i) <= ahbm_none;
548
  end generate;
549
--  nap0 : for i in 6 to NAPBSLV-1 generate apbo(i) <= apb_none; end generate;
550
--  nah0 : for i in 7 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate;
551
 
552
  -- invert signal for input via a key
553
  dsubre  <= not dsubren;
554
 
555
-----------------------------------------------------------------------
556
---  Boot message  ----------------------------------------------------
557
-----------------------------------------------------------------------
558
 
559
-- pragma translate_off
560
  x : report_version
561
  generic map (
562
   msg1 => "LEON3 Altera EP3SL150 PSRAM/DDR Demonstration design",
563
   msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/1000) & "." & tost((LIBVHDL_VERSION mod 1000)/100)
564
      & "." & tost(LIBVHDL_VERSION mod 100) & ", build " & tost(LIBVHDL_BUILD),
565
   msg3 => "Target technology: " & tech_table(fabtech) & ",  memory library: " & tech_table(memtech),
566
   mdel => 1
567
  );
568
-- pragma translate_on
569
 
570
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.