1 |
2 |
dimamali |
|
2 |
|
|
set_operating_conditions -library RH_UMC018_IOLIB_WCMIL WCMIL
|
3 |
|
|
set_operating_conditions -library RH_UMC018_LVDSLIB_WCMIL WCMIL
|
4 |
|
|
set_operating_conditions -library RadHardUMC18_CORE_STD_WCMIL WCMIL
|
5 |
|
|
set_operating_conditions -library RadHardUMC18_CORE_HIT_WCMIL WCMIL
|
6 |
|
|
set_wire_load_mode segmented
|
7 |
|
|
set auto_wire_load_selection "true"
|
8 |
|
|
set_wire_load_mode segmented
|
9 |
|
|
|
10 |
|
|
set sys_clk_freq 300.0
|
11 |
|
|
set spw_clk_freq 300.0
|
12 |
|
|
set clock_skew 0.10
|
13 |
|
|
set input_setup 2.0
|
14 |
|
|
set output_delay 6.0
|
15 |
|
|
|
16 |
|
|
set sys_peri [expr 1000.0 / $sys_clk_freq]
|
17 |
|
|
set spw_peri [expr 1000.0 / $spw_clk_freq]
|
18 |
|
|
set spw_rxperi [expr 1500.0 / $spw_clk_freq]
|
19 |
|
|
set input_delay [expr $sys_peri - $input_setup]
|
20 |
|
|
set tdelay [expr $output_delay + 1]
|
21 |
|
|
|
22 |
|
|
create_clock -name "clk" -period $sys_peri {"core0/leon3core0/clk" }
|
23 |
|
|
set_dont_touch_network clk
|
24 |
|
|
create_clock -name "spw_txclk" -period $spw_peri { "core0/leon3core0/spw_clk"}
|
25 |
|
|
set_dont_touch_network spw_txclk
|
26 |
|
|
|
27 |
|
|
create_clock -name "spw_rxclk0" -period $spw_peri { "core0/leon3core0/grspw0_0/grspwc0/rx0_0/rxclko" }
|
28 |
|
|
#create_clock -name "spw_rxclk0" -period $spw_peri { "spw_rxsp[0]" }
|
29 |
|
|
set_dont_touch_network spw_rxclk0
|
30 |
|
|
create_clock -name "spw_rxclk1" -period $spw_peri { "core0/leon3core0/grspw0_1/grspwc0/rx0_0/rxclko" }
|
31 |
|
|
#create_clock -name "spw_rxclk1" -period $spw_peri { "spw_rxsp[1]" }
|
32 |
|
|
set_dont_touch_network spw_rxclk1
|
33 |
|
|
|
34 |
|
|
set_false_path -from resetn
|
35 |
|
|
set_false_path -from testen
|
36 |
|
|
set_ideal_network testen
|
37 |
|
|
set_false_path -from rxd1
|
38 |
|
|
set_false_path -from dsubre
|
39 |
|
|
set_false_path -from dsuen
|
40 |
|
|
set_false_path -from dsurx
|
41 |
|
|
set_false_path -to dsuact
|
42 |
|
|
set_false_path -from clk -to spw_txclk
|
43 |
|
|
set_false_path -to clk -from spw_txclk
|
44 |
|
|
set_false_path -from clk -to spw_rxclk0
|
45 |
|
|
set_false_path -to clk -from spw_rxclk0
|
46 |
|
|
set_false_path -from clk -to spw_rxclk1
|
47 |
|
|
set_false_path -to clk -from spw_rxclk1
|
48 |
|
|
set_false_path -from spw_txclk -to spw_rxclk0
|
49 |
|
|
set_false_path -to spw_txclk -from spw_rxclk0
|
50 |
|
|
set_false_path -from spw_txclk -to spw_rxclk1
|
51 |
|
|
set_false_path -to spw_txclk -from spw_rxclk1
|
52 |
|
|
set_false_path -from core0/leon3core0/ftmctrl0/rst -to [get_ports {data* cb*}]
|
53 |
|
|
set_false_path -from core0/leon3core0/grgpio0/rst -to [get_ports {gpio*}]
|
54 |
|
|
|
55 |
|
|
set_input_delay $input_delay -clock clk { \
|
56 |
|
|
gpio\[*\] data\[*\] brdyn bexcn cb\[*\] }
|
57 |
|
|
|
58 |
|
|
set_max_delay $output_delay -to { data\[*\] cb\[*\] }
|
59 |
|
|
|
60 |
|
|
set_max_delay 15 -to { errorn wdogn txd1 gpio\[*\] }
|
61 |
|
|
|
62 |
|
|
set_max_delay $output_delay -to { \
|
63 |
|
|
writen romsn\[*\] read oen iosn rwen\[*\] ramsn\[*\] \
|
64 |
|
|
ramoen\[*\] sdcsn\[*\] sdwen sdrasn sdcasn \
|
65 |
|
|
sddqm\[*\] address\[*\] \
|
66 |
|
|
}
|
67 |
|
|
|
68 |
|
|
#set_load 8.0 [all_outputs]
|
69 |
|
|
#set_load 50 { address\[2\] address\[3\] address\[4\] address\[5\] \
|
70 |
|
|
address\[6\] address\[7\] address\[8\] address\[9\] address\[10\] \
|
71 |
|
|
address\[11\] address\[12\] address\[13\] address\[14\] address\[15\] \
|
72 |
|
|
address\[16\] address\[17\] address\[18\] address\[19\] address\[20\]}
|
73 |
|
|
|
74 |
|
|
#set_load 20 [get_ports {data* cb*}]
|
75 |
|
|
|
76 |
|
|
set_critical_range 2.0 leon3mp
|