OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-avnet-eval-xc4vlx25/] [leon3mp.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  LEON3 Demonstration design
3
--  Copyright (C) 2006 Jiri Gaisler, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
18
------------------------------------------------------------------------------
19
 
20
library ieee;
21
use ieee.std_logic_1164.all;
22
library grlib;
23
use grlib.amba.all;
24
use grlib.stdlib.all;
25
use grlib.devices.all;
26
library techmap;
27
use techmap.gencomp.all;
28
use techmap.allclkgen.all;
29
library gaisler;
30
use gaisler.memctrl.all;
31
use gaisler.leon3.all;
32
use gaisler.uart.all;
33
use gaisler.misc.all;
34
use gaisler.net.all;
35
use gaisler.jtag.all;
36
library esa;
37
use esa.memoryctrl.all;
38
use work.config.all;
39
 
40
entity leon3mp is
41
  generic (
42
    fabtech : integer := CFG_FABTECH;
43
    memtech : integer := CFG_MEMTECH;
44
    padtech : integer := CFG_PADTECH;
45
    clktech : integer := CFG_CLKTECH;
46
    disas   : integer := CFG_DISAS;     -- Enable disassembly to console
47
    dbguart : integer := CFG_DUART;     -- Print UART on console
48
    pclow   : integer := CFG_PCLOW;
49
    ddrfreq    : integer := 100000  -- frequency of ddr clock in kHz 
50
    );
51
  port (
52
    resetn  : in  std_ulogic;
53
    resoutn : out std_logic;
54
    clk_100mhz : in  std_ulogic;
55
    errorn  : out   std_ulogic;
56
 
57
    -- prom interface
58
    address : out   std_logic_vector(21 downto 0);
59
    data    : inout std_logic_vector(15 downto 0);
60
    romsn   : out   std_ulogic;
61
    oen     : out   std_ulogic;
62
    writen  : out   std_ulogic;
63
    romrstn : out   std_ulogic;
64
-- pragma translate_off
65
    iosn    : out   std_ulogic;
66
    testdata  : inout std_logic_vector(15 downto 0);
67
-- pragma translate_on 
68
 
69
    -- ddr memory  
70
    ddr_clk0    : out std_logic;
71
    ddr_clk0b   : out std_logic;
72
    ddr_clk_fb_out  : out std_logic;
73
    ddr_clk_fb  : in std_logic;
74
    ddr_cke0    : out std_logic;
75
    ddr_cs0b    : out std_logic;
76
    ddr_web     : out std_ulogic;                       -- ddr write enable
77
    ddr_rasb    : out std_ulogic;                       -- ddr ras
78
    ddr_casb    : out std_ulogic;                       -- ddr cas
79
    ddr_dm      : out std_logic_vector (1 downto 0);    -- ddr dm
80
    ddr_dqs     : inout std_logic_vector (1 downto 0);    -- ddr dqs
81
    ddr_ad      : out std_logic_vector (12 downto 0);   -- ddr address
82
    ddr_ba      : out std_logic_vector (1 downto 0);    -- ddr bank address
83
    ddr_dq      : inout std_logic_vector (15 downto 0); -- ddr data
84
 
85
         -- debug support unit
86
    dsuen   : in  std_ulogic;
87
    dsubre  : in  std_ulogic;
88
    dsuact  : out std_ulogic;
89
 
90
    -- UART for serial DCL/console I/O
91
    serrx : in std_ulogic;
92
    sertx : out std_ulogic;
93
    rtsn  : out std_ulogic;
94
    ctsn  : in std_ulogic;
95
    led_rx  : out std_ulogic;
96
    led_tx  : out std_ulogic;
97
 
98
    -- ethernet signals
99
    emdio   : inout std_logic;          -- ethernet PHY interface
100
    etx_clk : in    std_ulogic;
101
    erx_clk : in    std_ulogic;
102
    erxd    : in    std_logic_vector(3 downto 0);
103
    erx_dv  : in    std_ulogic;
104
    erx_er  : in    std_ulogic;
105
    erx_col : in    std_ulogic;
106
    erx_crs : in    std_ulogic;
107
    etxd    : out   std_logic_vector(3 downto 0);
108
    etx_en  : out   std_ulogic;
109
    etx_er  : out   std_ulogic;
110
    emdc    : out   std_ulogic;
111
    erstn   : out   std_ulogic;
112
 
113
    -- OLED display signals
114
    disp_dcn  : out    std_ulogic;
115
    disp_csn  : out    std_ulogic;
116
    disp_rdn  : out    std_ulogic;
117
    disp_wrn  : out    std_ulogic;
118
    disp_d    : inout  std_logic_vector(7 downto 0)
119
    );
120
end;
121
 
122
architecture rtl of leon3mp is
123
 
124
  constant blength   : integer := 12;
125
  constant fifodepth : integer := 8;
126
 
127
  signal vcc, gnd   : std_logic_vector(4 downto 0);
128
  signal memi       : memory_in_type;
129
  signal memo       : memory_out_type;
130
  signal wpo        : wprot_out_type;
131
  signal sdi        : sdctrl_in_type;
132
  signal sdo       : sdctrl_out_type;
133
 
134
  signal gpioi : gpio_in_type;
135
  signal gpioo : gpio_out_type;
136
 
137
  signal apbi  : apb_slv_in_type;
138
  signal apbo  : apb_slv_out_vector := (others => apb_none);
139
  signal ahbsi : ahb_slv_in_type;
140
  signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
141
  signal ahbmi : ahb_mst_in_type;
142
  signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
143
 
144
  signal lclk : std_ulogic;
145
  signal ddrclk, ddrrst, ddrclkfb : std_ulogic;
146
 
147
  signal clkm, rstn, clkml, clk2x : std_ulogic;
148
  signal cgi                : clkgen_in_type;
149
  signal cgo                : clkgen_out_type;
150
  signal u1i, dui           : uart_in_type;
151
  signal u1o, duo           : uart_out_type;
152
 
153
  signal irqi : irq_in_vector(0 to CFG_NCPU-1);
154
  signal irqo : irq_out_vector(0 to CFG_NCPU-1);
155
 
156
  signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
157
  signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
158
 
159
  signal dsui : dsu_in_type;
160
  signal dsuo : dsu_out_type;
161
 
162
  signal ethi, ethi1, ethi2 : eth_in_type;
163
  signal etho, etho1, etho2 : eth_out_type;
164
 
165
  signal gpti : gptimer_in_type;
166
 
167
  signal tck, tms, tdi, tdo : std_ulogic;
168
 
169
--  signal dsubre         : std_logic;
170
  signal duart, ldsuen   : std_logic;
171
  signal rsertx, rserrx, rdsuen   : std_logic;
172
 
173
  signal rstraw : std_logic;
174
  signal rstneg : std_logic;
175
  signal rxd1 : std_logic;
176
  signal txd1 : std_logic;
177
  signal lock : std_logic;
178
 
179
  signal ddr_clk        : std_logic_vector(2 downto 0);
180
  signal ddr_clkb       : std_logic_vector(2 downto 0);
181
  signal ddr_cke        : std_logic_vector(1 downto 0);
182
  signal ddr_csb        : std_logic_vector(1 downto 0);
183
  signal ddr_adl        : std_logic_vector(13 downto 0);   -- ddr address
184
 
185
  attribute keep : boolean;
186
  attribute syn_keep : boolean;
187
  attribute syn_preserve : boolean;
188
  attribute syn_keep of lock : signal is true;
189
  attribute syn_keep of clkml : signal is true;
190
  attribute syn_preserve of clkml : signal is true;
191
  attribute keep of lock : signal is true;
192
  attribute keep of clkml : signal is true;
193
  attribute keep of clkm : signal is true;
194
 
195
 
196
  constant BOARD_FREQ : integer := 100000;   -- input frequency in KHz
197
  constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV;  -- cpu frequency in KHz
198
 
199
begin
200
 
201
  romrstn <= rstn;
202
 
203
----------------------------------------------------------------------
204
---  Reset and Clock generation  -------------------------------------
205
----------------------------------------------------------------------
206
 
207
  vcc <= (others => '1'); gnd <= (others => '0');
208
  cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
209
  rstneg <= not resetn;
210
 
211
  rst0 : rstgen port map (rstneg, clkm, lock, rstn, rstraw);
212
 
213
  clk_pad : clkpad generic map (tech => padtech) port map (clk_100mhz, lclk);
214
 
215
  clkgen0 : clkgen              -- clock generator
216
    generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, 0, 1, 0, 0, 0, BOARD_FREQ, 0)
217
    port map (lclk, gnd(0), clkm, open, open, open, open, cgi, cgo);
218
 
219
---------------------------------------------------------------------- 
220
---  AHB CONTROLLER --------------------------------------------------
221
----------------------------------------------------------------------
222
 
223
  ahb0 : ahbctrl                        -- AHB arbiter/multiplexer
224
    generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
225
                 rrobin  => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => 1,
226
                nahbm => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH+1,
227
                nahbs => 8)
228
    port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
229
 
230
----------------------------------------------------------------------
231
---  LEON3 processor and DSU -----------------------------------------
232
----------------------------------------------------------------------
233
 
234
  leon3gen : if CFG_LEON3 = 1 generate
235
    cpu : for i in 0 to CFG_NCPU-1 generate
236
      u0 : leon3s                         -- LEON3 processor
237
      generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
238
                   0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
239
                   CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
240
                   CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
241
                   CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
242
                   CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR,
243
                   CFG_NCPU-1)
244
      port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
245
                irqi(i), irqo(i), dbgi(i), dbgo(i));
246
    end generate;
247
    error_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error);
248
 
249
    dsugen : if CFG_DSU = 1 generate
250
      dsu0 : dsu3                         -- LEON3 Debug Support Unit
251
        generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
252
                   ncpu   => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
253
        port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
254
--    dsuen_pad  : inpad generic map (tech  => padtech) port map (dsuen, dsui.enable);
255
        dsui.enable <= '1';
256
      dsubre_pad : inpad generic map (tech  => padtech) port map (dsubre, dsui.break);
257
      dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active);
258
    end generate;
259
  end generate;
260
  nodcom : if CFG_DSU = 0 generate ahbso(2) <= ahbs_none; end generate;
261
 
262
  dcomgen : if CFG_AHB_UART = 1 generate
263
    dcom0 : ahbuart                     -- Debug UART
264
      generic map (hindex => CFG_NCPU, pindex => 4, paddr => 7)
265
      port map (rstn, clkm, dui, duo, apbi, apbo(4), ahbmi, ahbmo(CFG_NCPU));
266
  end generate;
267
  nouah : if CFG_AHB_UART = 0 generate apbo(4) <= apb_none; end generate;
268
 
269
  ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
270
    ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART)
271
      port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART),
272
               open, open, open, open, open, open, open, gnd(0));
273
  end generate;
274
 
275
----------------------------------------------------------------------
276
---  Memory controllers ----------------------------------------------
277
----------------------------------------------------------------------
278
 
279
  mg2 : if CFG_MCTRL_LEON2 = 1 generate        -- LEON2 memory controller
280
    sr1 : mctrl generic map (hindex => 5, pindex => 0,
281
        paddr => 0, srbanks => 1, ramaddr => 16#600#, rammask => 16#F00#, ram16 => 1 )
282
      port map (rstn, clkm, memi, memo, ahbsi, ahbso(5), apbi, apbo(0), wpo, open);
283
  end generate;
284
 
285
  memi.brdyn  <= '1'; memi.bexcn <= '1';
286
  memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "01";
287
 
288
  mg0 : if (CFG_MCTRL_LEON2 = 0) generate
289
    apbo(0) <= apb_none; ahbso(0) <= ahbs_none;
290
    roms_pad : outpad generic map (tech => padtech)
291
      port map (romsn, vcc(0));
292
  end generate;
293
 
294
  mgpads : if (CFG_MCTRL_LEON2 /= 0) generate
295
    addr_pad : outpadv generic map (width => 22, tech => padtech)
296
      port map (address, memo.address(22 downto 1));
297
    roms_pad : outpad generic map (tech => padtech)
298
      port map (romsn, memo.romsn(0));
299
    oen_pad : outpad generic map (tech => padtech)
300
      port map (oen, memo.oen);
301
    wri_pad : outpad generic map (tech => padtech)
302
      port map (writen, memo.writen);
303
 
304
-- pragma translate_off
305
    iosn_pad : outpad generic map (tech => padtech)
306
        port map (iosn, memo.iosn);
307
    tbdr : for i in 0 to 1 generate
308
      data_pad : iopadv generic map (tech => padtech, width => 8)
309
        port map (testdata(15-i*8 downto 8-i*8), memo.data(15-i*8 downto 8-i*8),
310
                  memo.bdrive(i+2), memi.data(15-i*8 downto 8-i*8));
311
    end generate;
312
-- pragma translate_on
313
 
314
    bdr : for i in 0 to 1 generate
315
      data_pad : iopadv generic map (tech => padtech, width => 8)
316
        port map (data(15-i*8 downto 8-i*8), memo.data(31-i*8 downto 24-i*8),
317
                  memo.bdrive(i), memi.data(31-i*8 downto 24-i*8));
318
    end generate;
319
  end generate;
320
 
321
----------------------------------------------------------------------
322
---  DDR memory controller -------------------------------------------
323
----------------------------------------------------------------------
324
 
325
  ddrsp0 : if (CFG_DDRSP /= 0) generate
326
 
327
    ddrc : ddrspa generic map ( fabtech => virtex4, memtech => memtech,
328
        hindex => 4, haddr => 16#400#, hmask => 16#F00#, ioaddr => 1,
329
        pwron => CFG_DDRSP_INIT, MHz => BOARD_FREQ/1000, rskew => -95
330
-- pragma translate_off
331
        * 0      -- disable clock skew during simulation
332
-- pragma translate_on
333
        , clkmul => CFG_DDRSP_FREQ/5, clkdiv => 20, col => CFG_DDRSP_COL,
334
        Mbyte => CFG_DDRSP_SIZE, ahbfreq => CPU_FREQ/1000, ddrbits => 16)
335
     port map (
336
        rstneg, rstn, lclk, clkm, lock, clkml, clkml,  ahbsi, ahbso(4),
337
        ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_clk_fb,
338
        ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb,
339
        ddr_dm, ddr_dqs, ddr_adl, ddr_ba, ddr_dq);
340
 
341
        ddr_clk0 <= ddr_clk(0); ddr_clk0b <= ddr_clkb(0);
342
        ddr_cke0 <= ddr_cke(0); ddr_cs0b <= ddr_csb(0);
343
        ddr_ad <= ddr_adl(12 downto 0);
344
  end generate;
345
 
346
  noddr :  if (CFG_DDRSP = 0) generate lock <= '1'; end generate;
347
 
348
----------------------------------------------------------------------
349
---  APB Bridge and various periherals -------------------------------
350
----------------------------------------------------------------------
351
 
352
  apb0 : apbctrl                        -- AHB/APB bridge
353
    generic map (hindex => 1, haddr => CFG_APBADDR)
354
    port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo);
355
 
356
  ua1 : if CFG_UART1_ENABLE /= 0 generate
357
    uart1 : apbuart                     -- UART 1
358
      generic map (pindex   => 1, paddr => 1, pirq => 2, console => dbguart,
359
                   fifosize => CFG_UART1_FIFO)
360
      port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
361
    u1i.rxd <= rxd1; u1i.ctsn <= '0'; u1i.extclk <= '0'; txd1 <= u1o.txd;
362
  end generate;
363
  noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
364
 
365
  irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
366
    irqctrl0 : irqmp                    -- interrupt controller
367
      generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU)
368
      port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
369
  end generate;
370
  irq3 : if CFG_IRQ3_ENABLE = 0 generate
371
    x : for i in 0 to CFG_NCPU-1 generate
372
      irqi(i).irl <= "0000";
373
    end generate;
374
    apbo(2) <= apb_none;
375
  end generate;
376
 
377
  gpt : if CFG_GPT_ENABLE /= 0 generate
378
    timer0 : gptimer                    -- timer unit
379
      generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
380
                   sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
381
                   nbits  => CFG_GPT_TW)
382
      port map (rstn, clkm, apbi, apbo(3), gpti, open);
383
    gpti.dhalt <= dsuo.active; gpti.extclk <= '0';
384
  end generate;
385
  notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
386
 
387
  gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate     -- GR GPIO unit
388
    grgpio0: grgpio
389
      generic map( pindex => 11, paddr => 11, imask => CFG_GRGPIO_IMASK,
390
        nbits => 12 --CFG_GRGPIO_WIDTH
391
      )
392
      port map( rstn, clkm, apbi, apbo(11), gpioi, gpioo);
393
 
394
      disp_csn_pad : outpad generic map (tech => padtech)
395
        port map (disp_csn, gpioo.dout(8));
396
      disp_dcn_pad : outpad generic map (tech => padtech)
397
        port map (disp_dcn, gpioo.dout(9));
398
      disp_rdn_pad : outpad generic map (tech => padtech)
399
        port map (disp_rdn, gpioo.dout(10));
400
      disp_wrn_pad : outpad generic map (tech => padtech)
401
        port map (disp_wrn, gpioo.dout(11));
402
      disp_d_pads : for i in 0 to 7 generate
403
        pio_pad : iopad generic map (tech => padtech)
404
            port map (disp_d(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i));
405
      end generate;
406
   end generate;
407
 
408
-----------------------------------------------------------------------
409
---  ETHERNET ---------------------------------------------------------
410
-----------------------------------------------------------------------
411
 
412
  eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
413
      e1 : grethm generic map(hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
414
        pindex => 15, paddr => 15, pirq => 12, memtech => memtech,
415
        mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
416
        nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
417
        macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL,
418
        ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL,
419
        phyrstadr => 3, giga => CFG_GRETH1G)
420
     port map( rst => rstn, clk => clkm, ahbmi => ahbmi,
421
       ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), apbi => apbi,
422
       apbo => apbo(15), ethi => ethi, etho => etho);
423
 
424
    emdio_pad : iopad generic map (tech => padtech)
425
      port map (emdio, etho.mdio_o, etho.mdio_oe, ethi.mdio_i);
426
    etxc_pad : inpad generic map (tech => padtech)
427
      port map (etx_clk, ethi.tx_clk);
428
    erxc_pad : inpad generic map (tech => padtech)
429
      port map (erx_clk, ethi.rx_clk);
430
    erxd_pad : inpadv generic map (tech => padtech, width => 4)
431
      port map (erxd, ethi.rxd(3 downto 0));
432
    erxdv_pad : inpad generic map (tech => padtech)
433
      port map (erx_dv, ethi.rx_dv);
434
    erxer_pad : inpad generic map (tech => padtech)
435
      port map (erx_er, ethi.rx_er);
436
    erxco_pad : inpad generic map (tech => padtech)
437
      port map (erx_col, ethi.rx_col);
438
    erxcr_pad : inpad generic map (tech => padtech)
439
      port map (erx_crs, ethi.rx_crs);
440
 
441
    etxd_pad : outpadv generic map (tech => padtech, width => 4)
442
      port map (etxd, etho.txd(3 downto 0));
443
    etxen_pad : outpad generic map (tech => padtech)
444
      port map (etx_en, etho.tx_en);
445
    etxer_pad : outpad generic map (tech => padtech)
446
      port map (etx_er, etho.tx_er);
447
    emdc_pad : outpad generic map (tech => padtech)
448
      port map (emdc, etho.mdc);
449
    erstn_pad : outpad generic map (tech => padtech)
450
      port map (erstn, rstn);
451
 
452
  end generate;
453
 
454
-----------------------------------------------------------------------
455
---  AHB DMA ----------------------------------------------------------
456
-----------------------------------------------------------------------
457
 
458
--  dma0 : ahbdma
459
--    generic map (hindex => CFG_NCPU+CFG_AHB_UART+CFG_GRETH,
460
--      pindex => 12, paddr => 12, dbuf => 32)
461
--    port map (rstn, clkm, apbi, apbo(12), ahbmi, 
462
--      ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_GRETH));
463
--
464
--  at0 : ahbtrace
465
--  generic map ( hindex  => 7, ioaddr => 16#200#, iomask => 16#E00#,
466
--    tech    => memtech, irq     => 0, kbytes  => 8) 
467
--  port map ( rstn, clkm, ahbmi, ahbsi, ahbso(7));
468
 
469
-----------------------------------------------------------------------
470
---  AHB ROM ----------------------------------------------------------
471
-----------------------------------------------------------------------
472
 
473
  bpromgen : if CFG_AHBROMEN /= 0 generate
474
    brom : entity work.ahbrom
475
      generic map (hindex => 6, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
476
      port map ( rstn, clkm, ahbsi, ahbso(6));
477
  end generate;
478
  nobpromgen : if CFG_AHBROMEN = 0 generate
479
     ahbso(6) <= ahbs_none;
480
  end generate;
481
 
482
-----------------------------------------------------------------------
483
---  AHB RAM ----------------------------------------------------------
484
-----------------------------------------------------------------------
485
 
486
  ahbramgen : if CFG_AHBRAMEN = 1 generate
487
    ahbram0 : ahbram generic map (hindex => 3, haddr => CFG_AHBRADDR,
488
                                  tech   => CFG_MEMTECH, kbytes => CFG_AHBRSZ)
489
      port map (rstn, clkm, ahbsi, ahbso(3));
490
  end generate;
491
  nram : if CFG_AHBRAMEN = 0 generate ahbso(3) <= ahbs_none; end generate;
492
 
493
-----------------------------------------------------------------------
494
---  Drive unused bus elements  ---------------------------------------
495
-----------------------------------------------------------------------
496
 
497
  nam1 : for i in (CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH+1) to NAHBMST-1 generate
498
    ahbmo(i) <= ahbm_none;
499
  end generate;
500
--  nap0 : for i in 9 to NAPBSLV-1-CFG_GRETH generate apbo(i) <= apb_none; end generate;
501
--  nah0 : for i in 8 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate;
502
 
503
  resoutn <= rstn;
504
 
505
-----------------------------------------------------------------------
506
---  Boot message  ----------------------------------------------------
507
-----------------------------------------------------------------------
508
 
509
-- pragma translate_off
510
  x : report_version
511
    generic map (
512
   msg1 => "LEON3 MP Demonstration design for Avnet Virtex4 Eval board",
513
   msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/1000) & "." & tost((LIBVHDL_VERSION mod 1000)/100)
514
      & "." & tost(LIBVHDL_VERSION mod 100) & ", build " & tost(LIBVHDL_BUILD),
515
   msg3 => "Target technology: " & tech_table(fabtech) & ",  memory library: " & tech_table(memtech),
516
   mdel => 1
517
      );
518
-- pragma translate_on
519
 
520
-- use switch 1 to multiplex DSU UART and UART1
521
 
522
  dsuen_pad  : inpad generic map (tech  => padtech) port map (dsuen, ldsuen);
523
  duart <= rdsuen when  CFG_AHB_UART /= 0 else '0';
524
  rxd1 <= txd1 when duart = '1' else rserrx;
525
  rsertx <= duo.txd when duart = '1' else txd1;
526
  dui.rxd <= rserrx when duart = '1' else '1';
527
 
528
  led_rx <= not rserrx;
529
  p1 : process(clkm)
530
  begin
531
    if rising_edge(clkm) then
532
      sertx <= rsertx; rserrx <= serrx; rdsuen <= ldsuen;
533
      rtsn <= '0';
534
      led_tx <= not rsertx;
535
    end if;
536
  end process;
537
 
538
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.