OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-avnet-xc2v1500/] [leon3mp.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
-----------------------------------------------------------------------------
2
--  LEON3 Demonstration design
3
--  Copyright (C) 2004 Jiri Gaisler, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
18
------------------------------------------------------------------------------
19
 
20
 
21
library ieee;
22
use ieee.std_logic_1164.all;
23
library grlib, techmap;
24
use grlib.amba.all;
25
use grlib.stdlib.all;
26
use techmap.gencomp.all;
27
library gaisler;
28
use gaisler.memctrl.all;
29
use gaisler.leon3.all;
30
use gaisler.uart.all;
31
use gaisler.misc.all;
32
use gaisler.pci.all;
33
use gaisler.jtag.all;
34
use gaisler.ddrrec.all;
35
 
36
library esa;
37
use esa.memoryctrl.all;
38
use esa.pcicomp.all;
39
use work.config.all;
40
 
41
entity leon3mp is
42
  generic (
43
    fabtech   : integer := CFG_FABTECH;
44
    memtech   : integer := CFG_MEMTECH;
45
    padtech   : integer := CFG_PADTECH;
46
    ncpu      : integer := CFG_NCPU;
47
    disas     : integer := CFG_DISAS;   -- Enable disassembly to console
48
    dbguart   : integer := CFG_DUART;   -- Print UART on console
49
    pclow     : integer := CFG_PCLOW
50
  );
51
  port (
52
 
53
    resetn      : in  std_logic;
54
    clk         : in  std_logic;
55
    clk125      : in  std_logic;
56
    errorn      : out std_logic;
57
    flash_rstn  : out std_logic;
58
    addr        : out std_logic_vector(27 downto 0);
59
    data        : inout std_logic_vector(15 downto 0);
60
    dsuen       : in std_logic;
61
    dsubre      : in std_logic;
62
    dsuact      : out std_logic;
63
    oen         : out std_logic;
64
    writen      : out std_logic;
65
    read        : out std_logic;
66
-- pragma translate_off
67
    iosn        : out std_logic;
68
-- pragma translate_on 
69
    romsn       : out std_logic;
70
 
71
    ddr_clk     : out std_logic_vector(1 downto 0);
72
    ddr_clkb    : out std_logic_vector(1 downto 0);
73
    ddr_clk_fb  : in std_logic;
74
    ddr_clk_fb_out  : out std_logic;
75
    ddr_cke     : out std_logic_vector(1 downto 0);
76
    ddr_csb     : out std_logic_vector(1 downto 0);
77
    ddr_web     : out std_logic;                       -- ddr write enable
78
    ddr_rasb    : out std_logic;                       -- ddr ras
79
    ddr_casb    : out std_logic;                       -- ddr cas
80
    ddr_dm      : out std_logic_vector (7 downto 0);    -- ddr dm
81
    ddr_dqs     : inout std_logic_vector (7 downto 0);    -- ddr dqs
82
    ddr_ad      : out std_logic_vector (12 downto 0);   -- ddr address
83
    ddr_ba      : out std_logic_vector (1 downto 0);    -- ddr bank address
84
    ddr_dq      : inout std_logic_vector (63 downto 0); -- ddr data
85
 
86
    txd1        : out std_logic;                        -- UART1 tx data
87
    rxd1        : in  std_logic;                        -- UART1 rx data
88
 
89
--    gpio        : inout std_logic_vector(31 downto 0);        -- I/O port
90
 
91
    pci_rst     : inout std_logic;              -- PCI bus
92
    pci_clk     : in std_logic;
93
    pci_gnt     : in std_logic;
94
    pci_idsel   : in std_logic;
95
    pci_lock    : inout std_logic;
96
    pci_ad      : inout std_logic_vector(31 downto 0);
97
    pci_cbe     : inout std_logic_vector(3 downto 0);
98
    pci_frame   : inout std_logic;
99
    pci_irdy    : inout std_logic;
100
    pci_trdy    : inout std_logic;
101
    pci_devsel  : inout std_logic;
102
    pci_stop    : inout std_logic;
103
    pci_perr    : inout std_logic;
104
    pci_par     : inout std_logic;
105
    pci_req     : inout std_logic;
106
    pci_serr    : inout std_logic;
107
    pci_host    : in std_logic;
108
    pci_66      : in std_logic
109
        );
110
end;
111
 
112
architecture rtl of leon3mp is
113
 
114
signal gpio        : std_logic_vector(31 downto 0);      -- I/O port
115
 
116
constant blength : integer := 12;
117
constant fifodepth : integer := 8;
118
constant maxahbm : integer := NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1+CFG_PCI;
119
 
120
signal vcc, gnd   : std_logic_vector(4 downto 0);
121
signal memi  : memory_in_type;
122
signal memo  : memory_out_type;
123
signal wpo   : wprot_out_type;
124
signal sdi   : sdctrl_in_type;
125
signal sdo   : sdram_out_type;
126
signal sdo2, sdo3 : sdctrl_out_type;
127
 
128
signal apbi  : apb_slv_in_type;
129
signal apbo  : apb_slv_out_vector := (others => apb_none);
130
signal ahbsi : ahb_slv_in_type;
131
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
132
signal ahbmi : ahb_mst_in_type;
133
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
134
 
135
signal clkm, clkml,  rstn, rstraw, pciclk, clkddr, ddrlock : std_logic;
136
 
137
signal cgi   : clkgen_in_type;
138
signal cgo   : clkgen_out_type;
139
signal u1i, u2i, dui : uart_in_type;
140
signal u1o, u2o, duo : uart_out_type;
141
 
142
signal irqi : irq_in_vector(0 to NCPU-1);
143
signal irqo : irq_out_vector(0 to NCPU-1);
144
 
145
signal dbgi : l3_debug_in_vector(0 to NCPU-1);
146
signal dbgo : l3_debug_out_vector(0 to NCPU-1);
147
 
148
signal dsui : dsu_in_type;
149
signal dsuo : dsu_out_type;
150
 
151
signal gpti : gptimer_in_type;
152
 
153
signal gpioi : gpio_in_type;
154
signal gpioo : gpio_out_type;
155
 
156
signal lclk, rst, ndsuact : std_logic;
157
signal tck, tckn, tms, tdi, tdo : std_logic;
158
 
159
signal pcii : pci_in_type;
160
signal pcio : pci_out_type;
161
 
162
signal ddr_clkv         : std_logic_vector(2 downto 0);
163
signal ddr_clkbv        : std_logic_vector(2 downto 0);
164
signal ddr_adl          : std_logic_vector (13 downto 0);
165
 
166
attribute keep : boolean;
167
attribute syn_keep : boolean;
168
attribute syn_preserve : boolean;
169
attribute keep of ddrlock : signal is true;
170
attribute keep of clkml : signal is true;
171
attribute keep of clkm : signal is true;
172
attribute syn_keep of clkml : signal is true;
173
attribute syn_preserve of clkml : signal is true;
174
 
175
signal lresetn, lclk125, lock : std_logic;
176
 
177
constant BOARD_FREQ : integer := 40000;   -- input frequency in KHz
178
constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV;  -- cpu frequency in KHz
179
constant IOAEN : integer := 1;
180
constant DDR_FREQ : integer := 125; --(CFG_DDRSP_FREQ/10)*10; -- DDR frequency in MHz
181
 
182
 
183
signal stati : ahbstat_in_type;
184
 
185
begin
186
 
187
----------------------------------------------------------------------
188
---  Reset and Clock generation  -------------------------------------
189
----------------------------------------------------------------------
190
 
191
  vcc <= (others => '1'); gnd <= (others => '0');
192
  cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
193
  lock <= ddrlock and cgo.clklock;
194
 
195
  clk_pad : clkpad generic map (tech => padtech) port map (clk, lclk);
196
 
197
  clkgen0 : clkgen              -- clock generator
198
    generic map (fabtech, CFG_CLKMUL, CFG_CLKDIV, 0, 0, CFG_PCI,
199
        CFG_PCIDLL, CFG_PCISYSCLK, BOARD_FREQ, 0)
200
    port map (lclk, pci_clk, clkm, open, open, open, pciclk, cgi, cgo);
201
 
202
  resetn_pad : clkpad generic map (tech => padtech) port map (resetn, lresetn);
203
  rst0 : rstgen                 -- reset generator
204
  port map (lresetn, clkm, lock, rstn, rstraw);
205
 
206
  flash_rstn_pad : outpad generic map (tech => padtech)
207
        port map (flash_rstn, rstn);
208
----------------------------------------------------------------------
209
---  AHB CONTROLLER --------------------------------------------------
210
----------------------------------------------------------------------
211
 
212
  ahb0 : ahbctrl                -- AHB arbiter/multiplexer
213
  generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
214
        rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO,
215
        ioen => IOAEN, nahbm => maxahbm, nahbs => 8)
216
  port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
217
 
218
----------------------------------------------------------------------
219
---  LEON3 processor and DSU -----------------------------------------
220
----------------------------------------------------------------------
221
 
222
  l3 : if CFG_LEON3 = 1 generate
223
    cpu : for i in 0 to NCPU-1 generate
224
      u0 : leon3s                       -- LEON3 processor      
225
      generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
226
        0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
227
        CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
228
        CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
229
        CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
230
        CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, NCPU-1)
231
      port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
232
                irqi(i), irqo(i), dbgi(i), dbgo(i));
233
    end generate;
234
    errorn_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error);
235
 
236
    dsugen : if CFG_DSU = 1 generate
237
      dsu0 : dsu3                       -- LEON3 Debug Support Unit
238
      generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
239
         ncpu => NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
240
      port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
241
      dsuen_pad : inpad generic map (tech => padtech) port map (dsuen, dsui.enable);
242
      dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break);
243
      dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, ndsuact);
244
    end generate;
245
  end generate;
246
 
247
  nodsu : if CFG_DSU = 0 generate
248
    dsuo.tstop <= '0'; dsuo.active <= '0';
249
  end generate;
250
 
251
--  dcomgen : if CFG_AHB_UART = 1 generate
252
--    dcom0: ahbuart            -- Debug UART
253
--    generic map (hindex => NCPU, pindex => 7, paddr => 7)
254
--    port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(NCPU));
255
--    dsurx_pad : inpad generic map (tech => padtech) port map (rxd1, dui.rxd); 
256
--    dsutx_pad : outpad generic map (tech => padtech) port map (txd1, duo.txd);
257
--  end generate;
258
 
259
  ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
260
    ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => NCPU+CFG_AHB_UART)
261
      port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(NCPU+CFG_AHB_UART),
262
               open, open, open, open, open, open, open, gnd(0));
263
  end generate;
264
 
265
----------------------------------------------------------------------
266
---  Memory controllers ----------------------------------------------
267
----------------------------------------------------------------------
268
 
269
  memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "01";
270
  memi.brdyn <= '1'; memi.bexcn <= '1';
271
 
272
  mctrl0 : mctrl generic map (hindex => 0, pindex => 0,
273
        paddr => 0, srbanks => 1, ram8 => CFG_MCTRL_RAM8BIT,
274
        ramaddr => 16#C00#, rammask => 16#FFF#,
275
        ram16 => CFG_MCTRL_RAM16BIT, sden => CFG_MCTRL_SDEN,
276
        invclk => CFG_MCTRL_INVCLK, sepbus => CFG_MCTRL_SEPBUS)
277
  port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo);
278
 
279
  addr_pad : outpadv generic map (width => 28, tech => padtech)
280
        port map (addr, memo.address(28 downto 1));
281
  roms_pad : outpad generic map (tech => padtech)
282
        port map (romsn, memo.romsn(0));
283
  oen_pad  : outpad generic map (tech => padtech)
284
        port map (oen, memo.oen);
285
  writen_pad  : outpad generic map (tech => padtech)
286
        port map (writen, memo.writen);
287
  bdr : for i in 0 to 1 generate
288
      data_pad : iopadv generic map (tech => padtech, width => 8)
289
      port map (data(15-i*8 downto 8-i*8), memo.data(31-i*8 downto 24-i*8),
290
        memo.bdrive(i), memi.data(31-i*8 downto 24-i*8));
291
  end generate;
292
 
293
  -- DDR RAM
294
 
295
  ddrsp0 : if (CFG_DDRSP /= 0) generate
296
 
297
    clk_pad : clkpad generic map (tech => padtech, arch => 2)
298
        port map (clk125, lclk125);
299
 
300
    ddr0 : ddrspa generic map (
301
        fabtech => virtex2, memtech => 0, ddrbits => 64,
302
        hindex => 3, haddr => 16#400#, hmask => 16#C00#, ioaddr => 1,
303
        pwron => CFG_DDRSP_INIT, MHz => DDR_FREQ,
304
        clkmul => CFG_DDRSP_FREQ/5, clkdiv => 20, col => CFG_DDRSP_COL,
305
        Mbyte => CFG_DDRSP_SIZE, ahbfreq => CPU_FREQ/1000 )
306
    port map (lresetn, rstn, lclk125, clkm, ddrlock, clkml, clkml,
307
        ahbsi, ahbso(3),
308
        ddr_clkv, ddr_clkbv, ddr_clk_fb_out, ddr_clk_fb,
309
        ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb,
310
        ddr_dm, ddr_dqs, ddr_adl, ddr_ba, ddr_dq);
311
 
312
        ddr_clk <= ddr_clkv(1 downto 0); ddr_clkb <= ddr_clkbv(1 downto 0);
313
        ddr_ad <= ddr_adl(12 downto 0);
314
 
315
 
316
  end generate;
317
 
318
-----------------------------------------------------------------------
319
---  AHB DMA ----------------------------------------------------------
320
-----------------------------------------------------------------------
321
 
322
--  dma0 : ahbdma
323
--    generic map (hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
324
--      pindex => 13, paddr => 13, dbuf => 16)
325
--    port map (rstn, clkm, apbi, apbo(13), ahbmi, 
326
--      ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG));
327
--
328
--  at0 : ahbtrace
329
--  generic map ( hindex  => 7, ioaddr => 16#200#, iomask => 16#E00#,
330
--    tech    => memtech, irq     => 0, kbytes  => 8) 
331
--  port map ( rstn, clkm, ahbmi, ahbsi, ahbso(7));
332
 
333
----------------------------------------------------------------------
334
---  APB Bridge and various periherals -------------------------------
335
----------------------------------------------------------------------
336
 
337
  apb0 : apbctrl                                -- AHB/APB bridge
338
  generic map (hindex => 1, haddr => CFG_APBADDR, nslaves => 16)
339
  port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo );
340
 
341
  ua1 : if CFG_UART1_ENABLE /= 0 generate
342
    uart1 : apbuart                     -- UART 1
343
    generic map (pindex => 1, paddr => 1,  pirq => 2, console => dbguart,
344
        fifosize => CFG_UART1_FIFO)
345
    port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
346
    u1i.extclk <= '0'; u1i.ctsn <= '0';
347
    nopads : if CFG_AHB_UART = 0 generate
348
      rxd1_pad : inpad generic map (tech => padtech) port map (rxd1, u1i.rxd);
349
      txd1_pad : outpad generic map (tech => padtech) port map (txd1, u1o.txd);
350
    end generate;
351
    upads : if CFG_AHB_UART = 1 generate
352
      u1i.rxd <= u1o.txd;
353
    end generate;
354
  end generate;
355
 
356
  irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
357
    irqctrl0 : irqmp                    -- interrupt controller
358
    generic map (pindex => 2, paddr => 2, ncpu => NCPU)
359
    port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
360
  end generate;
361
  irq3 : if CFG_IRQ3_ENABLE = 0 generate
362
    x : for i in 0 to NCPU-1 generate
363
      irqi(i).irl <= "0000";
364
    end generate;
365
    apbo(2) <= apb_none;
366
  end generate;
367
 
368
  gpt : if CFG_GPT_ENABLE /= 0 generate
369
    timer0 : gptimer                    -- timer unit
370
    generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
371
        sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
372
        nbits => CFG_GPT_TW)
373
    port map (rstn, clkm, apbi, apbo(3), gpti, open);
374
    gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
375
  end generate;
376
 
377
  nogpt : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
378
 
379
  gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate     -- GR GPIO unit
380
    grgpio0: grgpio
381
      generic map( pindex => 11, paddr => 11, imask => CFG_GRGPIO_IMASK,
382
        nbits => CFG_GRGPIO_WIDTH)
383
      port map( rstn, clkm, apbi, apbo(11), gpioi, gpioo);
384
 
385
      pio_pads : for i in 0 to CFG_GRGPIO_WIDTH-1 generate
386
        pio_pad : iopad generic map (tech => padtech)
387
            port map (gpio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i));
388
      end generate;
389
   end generate;
390
 
391
  ahbs : if CFG_AHBSTAT = 1 generate    -- AHB status register
392
    ahbstat0 : ahbstat generic map (pindex => 15, paddr => 15, pirq => 7,
393
        nftslv => CFG_AHBSTATN)
394
      port map (rstn, clkm, ahbmi, ahbsi, stati, apbi, apbo(15));
395
  end generate;
396
 
397
-----------------------------------------------------------------------
398
---  AHB RAM ----------------------------------------------------------
399
-----------------------------------------------------------------------
400
 
401
  ocram : if CFG_AHBRAMEN = 1 generate
402
    ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR,
403
        tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ)
404
    port map ( rstn, clkm, ahbsi, ahbso(7));
405
  end generate;
406
 
407
-----------------------------------------------------------------------
408
---  PCI   ------------------------------------------------------------
409
-----------------------------------------------------------------------
410
 
411
  pp : if CFG_PCI /= 0 generate
412
 
413
--  pci_clk_pad : clkpad generic map (tech => padtech, level => pci33) 
414
--          port map (pci_clk, pciclk); 
415
 
416
    pci_gr0 : if CFG_PCI = 1 generate   -- simple target-only
417
      pci0 : pci_target generic map (hindex => NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
418
        device_id => CFG_PCIDID, vendor_id => CFG_PCIVID)
419
      port map (rstn, clkm, pciclk, pcii, pcio, ahbmi, ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG));
420
    end generate;
421
 
422
    pci_mtf0 : if CFG_PCI = 2 generate  -- master/target with fifo
423
      pci0 : pci_mtf generic map (memtech => memtech, hmstndx => NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
424
          fifodepth => log2(CFG_PCIDEPTH), device_id => CFG_PCIDID, vendor_id => CFG_PCIVID,
425
          hslvndx => 4, pindex => 4, paddr => 4, haddr => 16#E00#,
426
          ioaddr => 16#400#, nsync => 2)
427
      port map (rstn, clkm, pciclk, pcii, pcio, apbi, apbo(4),
428
        ahbmi, ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG), ahbsi, ahbso(4));
429
    end generate;
430
 
431
    pci_mtf1 : if CFG_PCI = 3 generate  -- master/target with fifo and DMA
432
      dma : pcidma generic map (memtech => memtech, dmstndx => NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1,
433
          dapbndx => 5, dapbaddr => 5, blength => blength, mstndx => NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
434
          fifodepth => log2(fifodepth), device_id => CFG_PCIDID, vendor_id => CFG_PCIVID,
435
          slvndx => 4, apbndx => 4, apbaddr => 4, haddr => 16#E00#, ioaddr => 16#800#,
436
          nsync => 2)
437
        port map (rstn, clkm, pciclk, pcii, pcio, apbo(5),  ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1),
438
          apbi, apbo(4), ahbmi, ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG), ahbsi, ahbso(4));
439
    end generate;
440
 
441
    pci_trc0 : if CFG_PCITBUFEN /= 0 generate    -- PCI trace buffer
442
      pt0 : pcitrace generic map (depth => (6 + log2(CFG_PCITBUF/256)),
443
        memtech => memtech, pindex  => 8, paddr => 16#100#, pmask => 16#f00#)
444
        port map ( rstn, clkm, pciclk, pcii, apbi, apbo(8));
445
    end generate;
446
 
447
    pcipads0 : pcipads generic map (padtech => padtech, host => 0)-- PCI pads
448
    port map ( pci_rst, pci_gnt, pci_idsel, pci_lock, pci_ad, pci_cbe,
449
      pci_frame, pci_irdy, pci_trdy, pci_devsel, pci_stop, pci_perr,
450
      pci_par, pci_req, pci_serr, pci_host, pci_66, pcii, pcio );
451
 
452
  end generate;
453
 
454
-----------------------------------------------------------------------
455
---  Boot message  ----------------------------------------------------
456
-----------------------------------------------------------------------
457
 
458
-- pragma translate_off
459
  x : report_version
460
  generic map (
461
   msg1 => "LEON3 Avnet Virtex2 XC2V1500 Demonstration design",
462
   msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/1000) & "." & tost((LIBVHDL_VERSION mod 1000)/100)
463
      & "." & tost(LIBVHDL_VERSION mod 100) & ", build " & tost(LIBVHDL_BUILD),
464
   msg3 => "Target technology: " & tech_table(fabtech) & ",  memory library: " & tech_table(memtech),
465
   mdel => 1
466
  );
467
-- pragma translate_on
468
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.