OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-clock-gate/] [clkgate.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library ieee;
2
use ieee.std_logic_1164.all;
3
library techmap;
4
use techmap.gencomp.all;
5
 
6
entity clkgate is
7
  generic (tech : integer := 0; ncpu : integer := 1);
8
  port (
9
    rst     : in  std_ulogic;
10
    clkin   : in  std_ulogic;
11
    pwd     : in  std_logic_vector(ncpu-1 downto 0);
12
    clkahb  : out std_ulogic;
13
    clkcpu  : out std_logic_vector(ncpu-1 downto 0)
14
  );
15
end;
16
 
17
architecture rtl of clkgate is
18
signal npwd : std_logic_vector(ncpu-1 downto 0);
19
signal vrst : std_logic_vector(ncpu-1 downto 0);
20
signal clken: std_logic_vector(ncpu-1 downto 0);
21
signal vcc : std_ulogic;
22
begin
23
 
24
  vcc <= '1';
25
  cand : for i in 0 to ncpu-1 generate
26
    clken(i) <= not npwd(i);
27
    clkand0 : clkand generic map (tech) port map (clkin, clken(i), clkcpu(i));
28
  end generate;
29
  cand0 : clkand generic map (tech) port map (clkin, vcc, clkahb);
30
 
31
  vrst <= (others => rst);
32
  nreg : process(clkin)
33
  begin
34
    if falling_edge(clkin) then
35
      npwd <= pwd and vrst;
36
    end if;
37
  end process;
38
 
39
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.