OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-digilent-xc3s1600e/] [testbench.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
-----------------------------------------------------------------------------
2
--  LEON3 Demonstration design test bench
3
--  Copyright (C) 2004 Jiri Gaisler, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
------------------------------------------------------------------------------
15
--  modified by Thomas Ameseder, Gleichmann Electronics 2004, 2005 to
16
--  support the use of an external AHB slave and different HPE board versions
17
------------------------------------------------------------------------------
18
--  further adapted from Hpe_compact to Hpe_mini (Feb. 2005)
19
------------------------------------------------------------------------------
20
 
21
 
22
library ieee;
23
use ieee.std_logic_1164.all;
24
library gaisler;
25
use gaisler.libdcom.all;
26
use gaisler.sim.all;
27
library techmap;
28
use techmap.gencomp.all;
29
library micron;
30
use micron.components.all;
31
 
32
use work.config.all;                    -- configuration
33
use work.debug.all;
34
use std.textio.all;
35
library grlib;
36
use grlib.stdlib.all;
37
use grlib.stdio.all;
38
use grlib.devices.all;
39
 
40
 
41
entity testbench is
42
  generic (
43
    fabtech : integer := CFG_FABTECH;
44
    memtech : integer := CFG_MEMTECH;
45
    padtech : integer := CFG_PADTECH;
46
    clktech : integer := CFG_CLKTECH;
47
    disas   : integer := CFG_DISAS;     -- Enable disassembly to console
48
    dbguart : integer := CFG_DUART;     -- Print UART on console
49
    pclow   : integer := CFG_PCLOW;
50
 
51
    clkperiod : integer := 20;          -- system clock period
52
    romwidth  : integer := 16;          -- rom data width (8/32)
53
    romdepth  : integer := 16;          -- rom address depth
54
    sramwidth : integer := 32;          -- ram data width (8/16/32)
55
    sramdepth : integer := 18;          -- ram address depth
56
    srambanks : integer := 2            -- number of ram banks
57
    );
58
end;
59
 
60
architecture behav of testbench is
61
 
62
  constant promfile  : string := "prom.srec";   -- rom contents
63
  constant sdramfile : string := "sdram.srec";  -- sdram contents
64
 
65
 
66
  signal   clk : std_logic := '0';
67
  signal   Rst : std_logic := '0';      -- Reset
68
  constant ct  : integer   := clkperiod/2;
69
 
70
  signal address : std_logic_vector(23 downto 0);
71
  signal data    : std_logic_vector(31 downto 0);
72
 
73
  signal romsn  : std_logic_vector(1 downto 0);
74
  signal oen    : std_ulogic;
75
  signal writen : std_ulogic;
76
  signal iosn : std_ulogic;
77
 
78
  -- ddr memory  
79
  signal ddr_clk        : std_logic;
80
  signal ddr_clkb       : std_logic;
81
  signal ddr_clk_fb  : std_logic;
82
  signal ddr_cke        : std_logic;
83
  signal ddr_csb        : std_logic;
84
  signal ddr_web        : std_ulogic;                       -- ddr write enable
85
  signal ddr_rasb       : std_ulogic;                       -- ddr ras
86
  signal ddr_casb       : std_ulogic;                       -- ddr cas
87
  signal ddr_dm         : std_logic_vector (1 downto 0);    -- ddr dm
88
  signal ddr_dqs        : std_logic_vector (1 downto 0);    -- ddr dqs
89
  signal ddr_ad      : std_logic_vector (12 downto 0);   -- ddr address
90
  signal ddr_ba      : std_logic_vector (1 downto 0);    -- ddr bank address
91
  signal ddr_dq                 : std_logic_vector (15 downto 0); -- ddr data
92
 
93
  signal brdyn                               : std_ulogic;
94
  signal bexcn                               : std_ulogic;
95
  signal wdog                                : std_ulogic;
96
  signal dsuen, dsutx, dsurx, dsubre, dsuact : std_ulogic;
97
  signal dsurst                              : std_ulogic;
98
  signal test                                : std_ulogic;
99
  signal rtsn, ctsn                          : std_ulogic;
100
 
101
  signal error : std_logic;
102
 
103
  signal pio  : std_logic_vector(15 downto 0);
104
  signal GND  : std_ulogic := '0';
105
  signal VCC  : std_ulogic := '1';
106
  signal NC   : std_ulogic := 'Z';
107
  signal clk2 : std_ulogic := '1';
108
 
109
  signal plllock : std_ulogic;
110
 
111
-- pulled up high, therefore std_logic
112
  signal txd, rxd1 : std_logic;
113
 
114
  signal etx_clk, erx_clk, erx_dv, erx_er, erx_col, erx_crs, etx_en, etx_er : std_logic                    := '0';
115
  signal erxd, etxd                                                         : std_logic_vector(3 downto 0) := (others => '0');
116
  signal emdc, emdio                                                        : std_logic;  --dummy signal for the mdc,mdio in the phy which is not used
117
 
118
  constant lresp : boolean := false;
119
 
120
  signal resoutn : std_logic;
121
  signal dsubren : std_ulogic;
122
  signal dsuactn : std_ulogic;
123
 
124
begin
125
 
126
  dsubren <= not dsubre;
127
 
128
-- clock and reset
129
 
130
  clk     <= not clk after ct * 1 ns;
131
  rst     <= '1', '0' after 100 ns;
132
  dsuen   <= '0'; dsubre <= '0'; rxd1 <= 'H';
133
  address(0) <= '0';
134
  ddr_dqs <= (others => 'L');
135
  d3 : entity work.leon3mp
136
    port map (
137
      reset  => rst,
138
      clk_50mhz     => clk,
139
      errorn  => error,
140
      address => address(23 downto 0),
141
      data    => data(31 downto 16),
142
      testdata    => data(15 downto 0),
143
 
144
      ddr_clk0          => ddr_clk,
145
      ddr_clk0b         => ddr_clkb,
146
      ddr_clk_fb        => ddr_clk_fb,
147
      ddr_cke0          => ddr_cke,
148
      ddr_cs0b          => ddr_csb,
149
      ddr_web           => ddr_web,
150
      ddr_rasb          => ddr_rasb,
151
      ddr_casb          => ddr_casb,
152
      ddr_dm            => ddr_dm,
153
      ddr_dqs           => ddr_dqs,
154
      ddr_ad            => ddr_ad,
155
      ddr_ba            => ddr_ba,
156
      ddr_dq            => ddr_dq,
157
 
158
      dsuen   => dsuen,
159
      dsubre => dsubre,
160
--      dsuact => dsuactn,
161
      dsutx   => dsutx,
162
      dsurx   => dsurx,
163
 
164
      oen    => oen,
165
      writen => writen,
166
      iosn   => iosn,
167
      romsn  => romsn(0),
168
 
169
      utxd1   => txd,
170
      urxd1   => txd,
171
 
172
      emdio   => emdio,
173
      etx_clk => etx_clk,
174
      erx_clk => erx_clk,
175
      erxd    => erxd,
176
      erx_dv  => erx_dv,
177
      erx_er  => erx_er,
178
      erx_col => erx_col,
179
      erx_crs => erx_crs,
180
      etxd    => etxd,
181
      etx_en  => etx_en,
182
      etx_er => etx_er,
183
      emdc   => emdc
184
 
185
      );
186
 
187
  ddr_clk_fb <= ddr_clk;
188
 
189
  u1 : mt46v16m16
190
    generic map (index => -1, fname => sdramfile)
191
    port map(
192
      Dq => ddr_dq(15 downto 0), Dqs => ddr_dqs(1 downto 0), Addr => ddr_ad,
193
      Ba => ddr_ba, Clk => ddr_clk,  Clk_n => ddr_clkb, Cke => ddr_cke,
194
      Cs_n => ddr_csb, Ras_n => ddr_rasb, Cas_n => ddr_casb, We_n => ddr_web,
195
      Dm => ddr_dm(1 downto 0));
196
 
197
  prom0 : for i in 0 to (romwidth/8)-1 generate
198
      sr0 : sram generic map (index => i+4, abits => romdepth, fname => promfile)
199
        port map (address(romdepth downto 1), data(31-i*8 downto 24-i*8), romsn(0),
200
                  writen, oen);
201
  end generate;
202
 
203
 
204
--  phy0 : if CFG_GRETH > 0 generate
205
--    p0 : phy
206
--      port map(rst, led_cfg, open, etx_clk, erx_clk, erxd, erx_dv,
207
--               erx_er, erx_col, erx_crs, etxd, etx_en, etx_er, emdc);
208
--  end generate;
209
  error <= 'H';                         -- ERROR pull-up
210
 
211
  iuerr : process
212
  begin
213
    wait for 5 us;
214
    assert (to_X01(error) = '1')
215
      report "*** IU in error mode, simulation halted ***"
216
      severity failure;
217
  end process;
218
 
219
  test0 :  grtestmod
220
    port map ( rst, clk, error, address(21 downto 2), data,
221
               iosn, oen, writen, brdyn);
222
 
223
  data <= buskeep(data) after 5 ns;
224
 
225
    dsucom : process
226
      procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is
227
        variable w32 : std_logic_vector(31 downto 0);
228
        variable c8  : std_logic_vector(7 downto 0);
229
        constant txp : time := 160 * 1 ns;
230
      begin
231
        dsutx  <= '1';
232
        dsurst <= '1';
233
        wait;
234
        wait for 5000 ns;
235
        txc(dsutx, 16#55#, txp);        -- sync uart
236
 
237
--                txc(dsutx, 16#c0#, txp);
238
--        txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
239
--        txa(dsutx, 16#00#, 16#00#, 16#00#, 16#ef#, txp);
240
--
241
--        txc(dsutx, 16#c0#, txp);
242
--        txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
243
--        txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp);
244
--
245
--        txc(dsutx, 16#c0#, txp);
246
--        txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp);
247
--        txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp);
248
--
249
--        txc(dsutx, 16#c0#, txp);
250
--        txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp);
251
--        txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp);
252
--
253
--        txc(dsutx, 16#80#, txp);
254
--        txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
255
--        rxi(dsurx, w32, txp, lresp);
256
 
257
        txc(dsutx, 16#a0#, txp);
258
        txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp);
259
        rxi(dsurx, w32, txp, lresp);
260
 
261
      end;
262
 
263
    begin
264
 
265
      dsucfg(dsutx, dsurx);
266
 
267
      wait;
268
    end process;
269
 
270
end;
271
 
272
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.