OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-digilent-xup/] [leon3mp.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
-----------------------------------------------------------------------------
2
--  LEON3 Demonstration design
3
--  Copyright (C) 2004 Jiri Gaisler, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
18
------------------------------------------------------------------------------
19
 
20
 
21
library ieee;
22
use ieee.std_logic_1164.all;
23
library grlib, techmap;
24
use grlib.amba.all;
25
use grlib.stdlib.all;
26
use techmap.gencomp.all;
27
library gaisler;
28
use gaisler.memctrl.all;
29
use gaisler.leon3.all;
30
use gaisler.uart.all;
31
use gaisler.misc.all;
32
use gaisler.net.all;
33
use gaisler.jtag.all;
34
-- pragma translate_off
35
use gaisler.sim.all;
36
-- pragma translate_on
37
 
38
library esa;
39
use esa.memoryctrl.all;
40
 
41
use work.config.all;
42
 
43
entity leon3mp is
44
  generic (
45
    fabtech   : integer := CFG_FABTECH;
46
    memtech   : integer := CFG_MEMTECH;
47
    padtech   : integer := CFG_PADTECH;
48
    ncpu      : integer := CFG_NCPU;
49
    disas     : integer := CFG_DISAS;   -- Enable disassembly to console
50
    dbguart   : integer := CFG_DUART;   -- Print UART on console
51
    pclow     : integer := CFG_PCLOW
52
  );
53
  port (
54
 
55
    resetn      : in  std_ulogic;
56
    clk         : in  std_ulogic;
57
    errorn      : out std_ulogic;
58
 
59
    dsuen       : in std_ulogic;
60
    dsubre      : in std_ulogic;
61
    dsuact      : out std_ulogic;
62
 
63
    ddr_clk     : out std_logic_vector(2 downto 0);
64
    ddr_clkb    : out std_logic_vector(2 downto 0);
65
    ddr_clk_fb  : in std_logic;
66
    ddr_clk_fb_out  : out std_logic;
67
    ddr_cke     : out std_logic_vector(1 downto 0);
68
    ddr_csb     : out std_logic_vector(1 downto 0);
69
    ddr_web     : out std_ulogic;                       -- ddr write enable
70
    ddr_rasb    : out std_ulogic;                       -- ddr ras
71
    ddr_casb    : out std_ulogic;                       -- ddr cas
72
    ddr_dm      : out std_logic_vector (7 downto 0);    -- ddr dm
73
    ddr_dqs     : inout std_logic_vector (7 downto 0);    -- ddr dqs
74
    ddr_ad      : out std_logic_vector (13 downto 0);   -- ddr address
75
    ddr_ba      : out std_logic_vector (1 downto 0);    -- ddr bank address
76
    ddr_dq      : inout std_logic_vector (63 downto 0); -- ddr data
77
 
78
    rxd : in std_ulogic;
79
    txd : out std_ulogic;
80
    led_rx  : out std_ulogic;
81
    led_tx  : out std_ulogic;
82
 
83
--    gpio        : inout std_logic_vector(31 downto 0);        -- I/O port
84
 
85
    emdio         : inout std_logic;            -- ethernet PHY interface
86
    etx_clk       : in std_ulogic;
87
    erx_clk       : in std_ulogic;
88
    erxd          : in std_logic_vector(3 downto 0);
89
    erx_dv        : in std_ulogic;
90
    erx_er        : in std_ulogic;
91
    erx_col       : in std_ulogic;
92
    erx_crs       : in std_ulogic;
93
    etxd          : out std_logic_vector(3 downto 0);
94
    etx_en        : out std_ulogic;
95
    etx_er        : out std_ulogic;
96
    emdc          : out std_ulogic;
97
    eresetn       : out std_ulogic;
98
    etx_slew      : out std_logic_vector(1 downto 0);
99
 
100
    ps2clk        : inout std_logic_vector(1 downto 0);
101
    ps2data       : inout std_logic_vector(1 downto 0);
102
 
103
    vid_clock     : out std_ulogic;
104
    vid_blankn    : out std_ulogic;
105
    vid_syncn     : out std_ulogic;
106
    vid_hsync     : out std_ulogic;
107
    vid_vsync     : out std_ulogic;
108
    vid_r         : out std_logic_vector(7 downto 0);
109
    vid_g         : out std_logic_vector(7 downto 0);
110
    vid_b         : out std_logic_vector(7 downto 0)
111
 
112
        );
113
end;
114
 
115
architecture rtl of leon3mp is
116
 
117
signal gpio        : std_logic_vector(31 downto 0);      -- I/O port
118
 
119
constant maxahbm : integer := NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH+CFG_SVGA_ENABLE;
120
 
121
signal vcc, gnd   : std_logic_vector(4 downto 0);
122
signal memi  : memory_in_type;
123
signal memo  : memory_out_type;
124
signal wpo   : wprot_out_type;
125
signal sdi   : sdctrl_in_type;
126
signal sdo   : sdram_out_type;
127
 
128
signal apbi  : apb_slv_in_type;
129
signal apbo  : apb_slv_out_vector := (others => apb_none);
130
signal ahbsi : ahb_slv_in_type;
131
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
132
signal ahbmi : ahb_mst_in_type;
133
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
134
 
135
signal clkm, rstn, rstraw, pciclk, ddrlock : std_ulogic;
136
 
137
signal cgi   : clkgen_in_type;
138
signal cgo   : clkgen_out_type;
139
signal u1i, dui : uart_in_type;
140
signal u1o, duo : uart_out_type;
141
 
142
signal irqi : irq_in_vector(0 to NCPU-1);
143
signal irqo : irq_out_vector(0 to NCPU-1);
144
 
145
signal dbgi : l3_debug_in_vector(0 to NCPU-1);
146
signal dbgo : l3_debug_out_vector(0 to NCPU-1);
147
 
148
signal dsui : dsu_in_type;
149
signal dsuo : dsu_out_type;
150
 
151
signal gpti : gptimer_in_type;
152
 
153
signal gpioi : gpio_in_type;
154
signal gpioo : gpio_out_type;
155
 
156
signal lclk, ndsuact : std_ulogic;
157
signal tck, tckn, tms, tdi, tdo : std_ulogic;
158
 
159
signal rxd1 : std_logic;
160
signal txd1 : std_logic;
161
signal duart, rserrx, rsertx, rdsuen, ldsuen : std_logic;
162
 
163
signal ethi : eth_in_type;
164
signal etho : eth_out_type;
165
 
166
signal kbdi  : ps2_in_type;
167
signal kbdo  : ps2_out_type;
168
signal moui  : ps2_in_type;
169
signal mouo  : ps2_out_type;
170
signal vgao  : apbvga_out_type;
171
 
172
signal lresetn, lock, clkml, clk1x : std_ulogic;
173
 
174
constant BOARD_FREQ : integer := 100000;   -- input frequency in KHz
175
constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV;  -- cpu frequency in KHz
176
constant IOAEN : integer := 1;
177
 
178
attribute keep : boolean;
179
attribute syn_keep : boolean;
180
attribute syn_preserve : boolean;
181
attribute keep of ddrlock : signal is true;
182
attribute keep of clkml : signal is true;
183
attribute keep of clkm : signal is true;
184
attribute syn_keep of clkml : signal is true;
185
attribute syn_preserve of clkml : signal is true;
186
 
187
signal stati : ahbstat_in_type;
188
signal dac_clk,video_clk, clkvga : std_logic; -- Signals to vgaclock.
189
signal clk_sel : std_logic_vector(1 downto 0);
190
signal clkval : std_logic_vector(1 downto 0);
191
 
192
attribute keep of clkvga : signal is true;
193
attribute syn_keep of clkvga : signal is true;
194
attribute syn_preserve of clkvga : signal is true;
195
begin
196
 
197
----------------------------------------------------------------------
198
---  Reset and Clock generation  -------------------------------------
199
----------------------------------------------------------------------
200
 
201
  vcc <= (others => '1'); gnd <= (others => '0');
202
  cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
203
  lock <= ddrlock and cgo.clklock;
204
 
205
  clk_pad : clkpad generic map (tech => padtech) port map (clk, lclk);
206
 
207
  clkgen0 : clkgen              -- clock generator
208
  generic map (fabtech, CFG_CLKMUL, CFG_CLKDIV, 0, 0, 0, 0, 0, BOARD_FREQ, 0)
209
  port map (lclk, pciclk, clkm, open, open, open, pciclk, cgi, cgo, open, clk1x);
210
 
211
  resetn_pad : inpad generic map (tech => padtech) port map (resetn, lresetn);
212
  rst0 : rstgen                 -- reset generator
213
  port map (lresetn, clkm, lock, rstn, rstraw);
214
 
215
----------------------------------------------------------------------
216
---  AHB CONTROLLER --------------------------------------------------
217
----------------------------------------------------------------------
218
 
219
  ahb0 : ahbctrl                -- AHB arbiter/multiplexer
220
  generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
221
        rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO,
222
        ioen => IOAEN, nahbm => maxahbm, nahbs => 8)
223
  port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
224
 
225
----------------------------------------------------------------------
226
---  LEON3 processor and DSU -----------------------------------------
227
----------------------------------------------------------------------
228
 
229
  l3 : if CFG_LEON3 = 1 generate
230
    cpu : for i in 0 to NCPU-1 generate
231
      u0 : leon3s                       -- LEON3 processor      
232
      generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
233
        0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
234
        CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
235
        CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
236
        CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
237
        CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, NCPU-1)
238
      port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
239
                irqi(i), irqo(i), dbgi(i), dbgo(i));
240
    end generate;
241
    errorn_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error);
242
 
243
    dsugen : if CFG_DSU = 1 generate
244
      dsu0 : dsu3                       -- LEON3 Debug Support Unit
245
      generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
246
         ncpu => NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
247
      port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
248
      dsui.enable <= '1';
249
      dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break);
250
      ndsuact <= not dsuo.active;
251
      dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, ndsuact);
252
    end generate;
253
  end generate;
254
 
255
  nodsu : if CFG_DSU = 0 generate
256
    dsuo.tstop <= '0'; dsuo.active <= '0';
257
  end generate;
258
 
259
  dcomgen : if CFG_AHB_UART = 1 generate
260
    dcom0 : ahbuart                     -- Debug UART
261
      generic map (hindex => CFG_NCPU, pindex => 4, paddr => 4)
262
      port map (rstn, clkm, dui, duo, apbi, apbo(4), ahbmi, ahbmo(CFG_NCPU));
263
      dui.rxd <= rxd when dsuen = '1' else '1';
264
  end generate;
265
 
266
  led_rx <= rxd;
267
  led_tx <= duo.txd when dsuen = '1' else u1o.txd;
268
  txd <= duo.txd when dsuen = '1' else u1o.txd;
269
 
270
  ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
271
    ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => NCPU+CFG_AHB_UART)
272
      port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(NCPU+CFG_AHB_UART),
273
               open, open, open, open, open, open, open, gnd(0));
274
  end generate;
275
 
276
----------------------------------------------------------------------
277
---  Memory controllers ----------------------------------------------
278
----------------------------------------------------------------------
279
 
280
  -- DDR RAM
281
 
282
  ddrsp0 : if (CFG_DDRSP /= 0) generate
283
 
284
    ddr0 : ddrspa generic map (
285
        fabtech => fabtech, memtech => 0, ddrbits => 64,
286
        hindex => 3, haddr => 16#400#, hmask => 16#C00#, ioaddr => 1,
287
        pwron => CFG_DDRSP_INIT, MHz => BOARD_FREQ/1000,
288
        clkmul => CFG_DDRSP_FREQ/5, clkdiv => 20, col => CFG_DDRSP_COL,
289
        Mbyte => CFG_DDRSP_SIZE, ahbfreq => CPU_FREQ/1000,
290
        rskew => CFG_DDRSP_RSKEW )
291
    port map (lresetn, rstn, clk1x, clkm, ddrlock, clkml, clkml,
292
        ahbsi, ahbso(3),
293
        ddr_clk, ddr_clkb, ddr_clk_fb_out, ddr_clk_fb,
294
        ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb,
295
        ddr_dm, ddr_dqs, ddr_ad, ddr_ba, ddr_dq);
296
  end generate;
297
 
298
  noddr :  if (CFG_DDRSP = 0) generate ddrlock <= '1'; end generate;
299
 
300
----------------------------------------------------------------------
301
---  APB Bridge and various periherals -------------------------------
302
----------------------------------------------------------------------
303
 
304
  apb0 : apbctrl                                -- AHB/APB bridge
305
  generic map (hindex => 1, haddr => CFG_APBADDR, nslaves => 16)
306
  port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo );
307
 
308
  ua1 : if CFG_UART1_ENABLE /= 0 generate
309
    uart1 : apbuart                     -- UART 1
310
      generic map (pindex   => 1, paddr => 1, pirq => 2, console => dbguart,
311
                   fifosize => CFG_UART1_FIFO)
312
      port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
313
    u1i.rxd <= rxd; u1i.ctsn <= '0'; u1i.extclk <= '0'; --txd1 <= u1o.txd;
314
  end generate;
315
 
316
  irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
317
    irqctrl0 : irqmp                    -- interrupt controller
318
    generic map (pindex => 2, paddr => 2, ncpu => NCPU)
319
    port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
320
  end generate;
321
  irq3 : if CFG_IRQ3_ENABLE = 0 generate
322
    x : for i in 0 to NCPU-1 generate
323
      irqi(i).irl <= "0000";
324
    end generate;
325
    apbo(2) <= apb_none;
326
  end generate;
327
 
328
  gpt : if CFG_GPT_ENABLE /= 0 generate
329
    timer0 : gptimer                    -- timer unit
330
    generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
331
        sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
332
        nbits => CFG_GPT_TW)
333
    port map (rstn, clkm, apbi, apbo(3), gpti, open);
334
    gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
335
  end generate;
336
 
337
  nogpt : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
338
 
339
  kbd : if CFG_KBD_ENABLE /= 0 generate
340
    ps21 : apbps2 generic map(pindex => 7, paddr => 7, pirq => 4)
341
      port map(rstn, clkm, apbi, apbo(7), moui, mouo);
342
    ps20 : apbps2 generic map(pindex => 5, paddr => 5, pirq => 5)
343
      port map(rstn, clkm, apbi, apbo(5), kbdi, kbdo);
344
  end generate;
345
 
346
  kbdclk_pad : iopad generic map (tech => padtech)
347
      port map (ps2clk(0),kbdo.ps2_clk_o, kbdo.ps2_clk_oe, kbdi.ps2_clk_i);
348
  kbdata_pad : iopad generic map (tech => padtech)
349
        port map (ps2data(0), kbdo.ps2_data_o, kbdo.ps2_data_oe, kbdi.ps2_data_i);
350
 
351
  mouclk_pad : iopad generic map (tech => padtech)
352
      port map (ps2clk(1),mouo.ps2_clk_o, mouo.ps2_clk_oe, moui.ps2_clk_i);
353
  mouata_pad : iopad generic map (tech => padtech)
354
        port map (ps2data(1), mouo.ps2_data_o, mouo.ps2_data_oe, moui.ps2_data_i);
355
 
356
  vga : if CFG_VGA_ENABLE /= 0 generate
357
    vga0 : apbvga generic map(memtech => memtech, pindex => 6, paddr => 6)
358
       port map(rstn, clkm, clkm, apbi, apbo(6), vgao);
359
    video_clock_pad : outpad generic map ( tech => padtech)
360
        port map (vid_clock, clkm);
361
   end generate;
362
 
363
  svga : if CFG_SVGA_ENABLE /= 0 generate
364
    svga0 : svgactrl generic map(memtech => memtech, pindex => 6, paddr => 6,
365
        hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG, clk0 => 40000,
366
        clk1 => 20000, clk2 => CFG_CLKDIV*10000/CFG_CLKMUL, burstlen => 5)
367
       port map(rstn, clkm, clkvga, apbi, apbo(6), vgao, ahbmi,
368
                ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), clk_sel);
369
 
370
    clkdiv : process(clk1x, rstn)
371
    begin
372
        if rstn = '0' then clkval <= "00";
373
        elsif rising_edge(clk1x) then
374
          clkval <= clkval + 1;
375
        end if;
376
    end process;
377
 
378
    video_clk <= clkval(1) when clk_sel = "00" else clkval(0) when clk_sel = "01" else clkm;
379
    b1 : techbuf generic map (2, virtex2) port map (video_clk, clkvga);
380
    dac_clk <= not video_clk;
381
    video_clock_pad : outpad generic map ( tech => padtech)
382
        port map (vid_clock, clkvga);
383
  end generate;
384
 
385
  novga : if (CFG_VGA_ENABLE = 0 and CFG_SVGA_ENABLE = 0) generate
386
    apbo(6) <= apb_none; vgao <= vgao_none;
387
  end generate;
388
 
389
  vga_pads : if (CFG_VGA_ENABLE /= 0 or CFG_SVGA_ENABLE /=0) generate
390
    blank_pad : outpad generic map (tech => padtech)
391
        port map (vid_blankn, vgao.blank);
392
    comp_sync_pad : outpad generic map (tech => padtech)
393
        port map (vid_syncn, vgao.comp_sync);
394
    vert_sync_pad : outpad generic map (tech => padtech)
395
        port map (vid_vsync, vgao.vsync);
396
    horiz_sync_pad : outpad generic map (tech => padtech)
397
        port map (vid_hsync, vgao.hsync);
398
    video_out_r_pad : outpadv generic map (width => 8, tech => padtech)
399
        port map (vid_r, vgao.video_out_r);
400
    video_out_g_pad : outpadv generic map (width => 8, tech => padtech)
401
        port map (vid_g, vgao.video_out_g);
402
    video_out_b_pad : outpadv generic map (width => 8, tech => padtech)
403
        port map (vid_b, vgao.video_out_b);
404
  end generate;
405
 
406
--  gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate     -- GR GPIO unit
407
--    grgpio0: grgpio
408
--      generic map( pindex => 11, paddr => 11, imask => CFG_GRGPIO_IMASK, 
409
--      nbits => CFG_GRGPIO_WIDTH)
410
--      port map( rstn, clkm, apbi, apbo(11), gpioi, gpioo);
411
--
412
--      pio_pads : for i in 0 to CFG_GRGPIO_WIDTH-1 generate
413
--        pio_pad : iopad generic map (tech => padtech)
414
--            port map (gpio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i));
415
--      end generate;
416
--   end generate;
417
 
418
--  ahbs : if CFG_AHBSTAT = 1 generate  -- AHB status register
419
--    ahbstat0 : ahbstat generic map (pindex => 15, paddr => 15, pirq => 7,
420
--      nftslv => CFG_AHBSTATN)
421
--      port map (rstn, clkm, ahbmi, ahbsi, stati, apbi, apbo(15));
422
--  end generate;
423
 
424
-----------------------------------------------------------------------
425
---  ETHERNET ---------------------------------------------------------
426
-----------------------------------------------------------------------
427
 
428
    eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
429
      e1 : greth generic map(hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE,
430
        pindex => 11, paddr => 11, pirq => 12, memtech => memtech,
431
        mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
432
        nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
433
        macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL,
434
        ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL)
435
     port map( rst => rstn, clk => clkm, ahbmi => ahbmi,
436
       ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE), apbi => apbi,
437
       apbo => apbo(11), ethi => ethi, etho => etho);
438
    end generate;
439
 
440
    ethpads : if (CFG_GRETH = 1) generate -- eth pads
441
      emdio_pad : iopad generic map (tech => padtech)
442
      port map (emdio, etho.mdio_o, etho.mdio_oe, ethi.mdio_i);
443
      etxc_pad : clkpad generic map (tech => padtech, arch => 2)
444
        port map (etx_clk, ethi.tx_clk);
445
      erxc_pad : clkpad generic map (tech => padtech, arch => 2)
446
        port map (erx_clk, ethi.rx_clk);
447
      erxd_pad : inpadv generic map (tech => padtech, width => 4)
448
        port map (erxd, ethi.rxd(3 downto 0));
449
      erxdv_pad : inpad generic map (tech => padtech)
450
        port map (erx_dv, ethi.rx_dv);
451
      erxer_pad : inpad generic map (tech => padtech)
452
        port map (erx_er, ethi.rx_er);
453
      erxco_pad : inpad generic map (tech => padtech)
454
        port map (erx_col, ethi.rx_col);
455
      erxcr_pad : inpad generic map (tech => padtech)
456
        port map (erx_crs, ethi.rx_crs);
457
 
458
      etxd_pad : outpadv generic map (tech => padtech, width => 4)
459
        port map (etxd, etho.txd(3 downto 0));
460
      etxen_pad : outpad generic map (tech => padtech)
461
        port map ( etx_en, etho.tx_en);
462
      etxer_pad : outpad generic map (tech => padtech)
463
        port map (etx_er, etho.tx_er);
464
      emdc_pad : outpad generic map (tech => padtech)
465
        port map (emdc, etho.mdc);
466
    end generate;
467
 
468
    etx_slew <= "00";
469
    eresetn <= rstn;
470
 
471
-----------------------------------------------------------------------
472
---  AHB ROM ----------------------------------------------------------
473
-----------------------------------------------------------------------
474
 
475
  bpromgen : if CFG_AHBROMEN /= 0 generate
476
    brom : entity work.ahbrom
477
      generic map (hindex => 0, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
478
      port map ( rstn, clkm, ahbsi, ahbso(0));
479
  end generate;
480
 
481
  ocram : if CFG_AHBRAMEN = 1 generate
482
    ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR,
483
        tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ)
484
    port map ( rstn, clkm, ahbsi, ahbso(7));
485
  end generate;
486
 
487
-----------------------------------------------------------------------
488
---  Test report module  ----------------------------------------------
489
-----------------------------------------------------------------------
490
 
491
-- pragma translate_off
492
 
493
  test0 : ahbrep generic map (hindex => 4, haddr => 16#200#)
494
        port map (rstn, clkm, ahbsi, ahbso(4));
495
 
496
-- pragma translate_on
497
 
498
-----------------------------------------------------------------------
499
---  Debug   ----------------------------------------------------------
500
-----------------------------------------------------------------------
501
 
502
-- pragma translate_off
503
--  dma0 : ahbdma
504
--    generic map (hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE+1,
505
--      pindex => 13, paddr => 13, dbuf => 6)
506
--    port map (rstn, clkm, apbi, apbo(13), ahbmi, 
507
--      ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE+1));
508
-- pragma translate_on
509
--
510
--  at0 : ahbtrace
511
--  generic map ( hindex  => 7, ioaddr => 16#200#, iomask => 16#E00#,
512
--    tech    => memtech, irq     => 0, kbytes  => 8) 
513
--  port map ( rstn, clkm, ahbmi, ahbsi, ahbso(7));
514
 
515
-----------------------------------------------------------------------
516
---  Boot message  ----------------------------------------------------
517
-----------------------------------------------------------------------
518
 
519
-- pragma translate_off
520
  x : report_version
521
  generic map (
522
   msg1 => "LEON3 Digilent Virtex2-Pro XUP Demonstration design",
523
   msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/1000) & "." & tost((LIBVHDL_VERSION mod 1000)/100)
524
      & "." & tost(LIBVHDL_VERSION mod 100) & ", build " & tost(LIBVHDL_BUILD),
525
   msg3 => "Target technology: " & tech_table(fabtech) & ",  memory library: " & tech_table(memtech),
526
   mdel => 1
527
  );
528
-- pragma translate_on
529
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.