OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-ge-hpe-mini-lattice/] [wave.do] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
onerror {resume}
2
quietly WaveActivateNextPane {} 0
3
add wave -noupdate -divider DAC_AHB
4
add wave -noupdate -format Logic -radix hexadecimal /testbench/d3/dac_ahb_1/rst
5
add wave -noupdate -format Logic -radix hexadecimal /testbench/d3/dac_ahb_1/clk
6
add wave -noupdate -format Literal -radix hexadecimal -expand /testbench/d3/dac_ahb_1/ahbsi
7
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/dac_ahb_1/ahbso
8
add wave -noupdate -format Logic -radix hexadecimal /testbench/d3/dac_ahb_1/dac_out
9
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/dac_ahb_1/r
10
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/dac_ahb_1/c
11
add wave -noupdate -format Logic -radix hexadecimal /testbench/d3/dac_ahb_1/ramsel
12
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/dac_ahb_1/write
13
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/dac_ahb_1/ramaddr
14
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/dac_ahb_1/ramdata
15
add wave -noupdate -format Literal -radix hexadecimal -expand /testbench/d3/dac_ahb_1/memarr
16
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/dac_ahb_1/ra
17
add wave -noupdate -format Logic -radix hexadecimal /testbench/d3/dac_ahb_1/rstp
18
add wave -noupdate -divider {DAC SigDelt}
19
add wave -noupdate -format Logic /testbench/d3/dac_ahb_1/sigdelt_1/reset
20
add wave -noupdate -format Logic /testbench/d3/dac_ahb_1/sigdelt_1/clock
21
add wave -noupdate -format Literal /testbench/d3/dac_ahb_1/sigdelt_1/dac_in
22
add wave -noupdate -format Logic /testbench/d3/dac_ahb_1/sigdelt_1/dac_out
23
add wave -noupdate -format Literal /testbench/d3/dac_ahb_1/sigdelt_1/delta
24
add wave -noupdate -format Literal /testbench/d3/dac_ahb_1/sigdelt_1/state
25
add wave -noupdate -divider {Clock Generator}
26
add wave -noupdate -format Logic /testbench/d3/clkgen0/str/v/clkin
27
add wave -noupdate -format Logic /testbench/d3/clkgen0/str/v/pciclkin
28
add wave -noupdate -format Logic /testbench/d3/clkgen0/str/v/clk
29
add wave -noupdate -format Logic /testbench/d3/clkgen0/str/v/clkn
30
add wave -noupdate -format Logic /testbench/d3/clkgen0/str/v/sdclk
31
add wave -noupdate -format Logic /testbench/d3/clkgen0/str/v/pciclk
32
add wave -noupdate -format Literal /testbench/d3/clkgen0/str/v/cgi
33
add wave -noupdate -format Literal /testbench/d3/clkgen0/str/v/cgo
34
add wave -noupdate -format Logic /testbench/d3/clkgen0/str/v/clk_i
35
add wave -noupdate -format Logic /testbench/d3/clkgen0/str/v/clkint
36
add wave -noupdate -format Logic /testbench/d3/clkgen0/str/v/pciclkint
37
add wave -noupdate -format Logic /testbench/d3/clkgen0/str/v/pllclk
38
add wave -noupdate -format Logic /testbench/d3/clkgen0/str/v/pllclkn
39
add wave -noupdate -format Logic /testbench/d3/clkgen0/str/v/s_clk
40
add wave -noupdate -divider LEON3S
41
add wave -noupdate -format Literal -radix hexadecimal -expand /testbench/d3/cpu__0/u0/ahbi
42
add wave -noupdate -format Literal -radix hexadecimal -expand /testbench/d3/cpu__0/u0/ahbo
43
add wave -noupdate -divider {Memory Controller}
44
add wave -noupdate -format Literal -radix hexadecimal -expand /testbench/d3/mg2/sr1/ahbsi
45
add wave -noupdate -format Literal -radix hexadecimal -expand /testbench/d3/mg2/sr1/ahbso
46
add wave -noupdate -divider {Internal Boot Prom}
47
add wave -noupdate -format Logic -radix hexadecimal /testbench/d3/mg2/sr1/promgen/bprom0/clk
48
add wave -noupdate -format Logic -radix hexadecimal /testbench/d3/mg2/sr1/promgen/bprom0/csn
49
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/mg2/sr1/promgen/bprom0/addr
50
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/mg2/sr1/promgen/bprom0/data
51
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/mg2/sr1/promgen/bprom0/raddr
52
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/mg2/sr1/promgen/bprom0/d
53
add wave -noupdate -divider {selected signals}
54
add wave -noupdate -format Logic /testbench/clk
55
add wave -noupdate -format Logic /testbench/rst
56
add wave -noupdate -format Literal -radix hexadecimal /testbench/address
57
add wave -noupdate -format Literal -radix hexadecimal /testbench/data
58
add wave -noupdate -format Literal /testbench/ramsn
59
add wave -noupdate -format Literal /testbench/ramoen
60
add wave -noupdate -format Literal /testbench/rben
61
add wave -noupdate -format Literal /testbench/rwen
62
add wave -noupdate -format Literal /testbench/rwenx
63
add wave -noupdate -format Literal /testbench/romsn
64
add wave -noupdate -format Logic /testbench/iosn
65
add wave -noupdate -format Logic /testbench/oen
66
add wave -noupdate -format Logic /testbench/read
67
add wave -noupdate -format Logic /testbench/writen
68
add wave -noupdate -format Literal -radix hexadecimal /testbench/sa
69
add wave -noupdate -format Literal -radix hexadecimal /testbench/sd
70
add wave -noupdate -format Literal /testbench/sdcke
71
add wave -noupdate -format Literal /testbench/sdcsn
72
add wave -noupdate -format Logic /testbench/sdwen
73
add wave -noupdate -format Logic /testbench/sdrasn
74
add wave -noupdate -format Logic /testbench/sdcasn
75
add wave -noupdate -format Literal /testbench/sddqm
76
add wave -noupdate -format Logic /testbench/sd_clk(0)
77
add wave -noupdate -divider LEON3MINI
78
add wave -noupdate -format Logic /testbench/d3/resetn
79
add wave -noupdate -format Logic /testbench/d3/resoutn
80
add wave -noupdate -format Logic /testbench/d3/clk
81
add wave -noupdate -format Logic /testbench/d3/errorn
82
add wave -noupdate -format Literal /testbench/d3/address
83
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/data
84
add wave -noupdate -format Literal /testbench/d3/ramsn
85
add wave -noupdate -format Literal /testbench/d3/ramoen
86
add wave -noupdate -format Literal /testbench/d3/rwen
87
add wave -noupdate -format Literal /testbench/d3/rben
88
add wave -noupdate -format Literal /testbench/d3/romsn
89
add wave -noupdate -format Logic /testbench/d3/iosn
90
add wave -noupdate -format Logic /testbench/d3/oen
91
add wave -noupdate -format Logic /testbench/d3/read
92
add wave -noupdate -format Logic /testbench/d3/writen
93
add wave -noupdate -format Literal /testbench/d3/sdcke
94
add wave -noupdate -format Literal /testbench/d3/sdcsn
95
add wave -noupdate -format Logic /testbench/d3/sdwen
96
add wave -noupdate -format Logic /testbench/d3/sdrasn
97
add wave -noupdate -format Logic /testbench/d3/sdcasn
98
add wave -noupdate -format Literal /testbench/d3/sddqm
99
add wave -noupdate -format Literal /testbench/d3/sdclk
100
add wave -noupdate -format Literal /testbench/d3/sdba
101
add wave -noupdate -format Logic /testbench/d3/dsuen
102
add wave -noupdate -format Logic /testbench/d3/dsutx
103
add wave -noupdate -format Logic /testbench/d3/dsurx
104
add wave -noupdate -format Logic /testbench/d3/dsubren
105
add wave -noupdate -format Logic /testbench/d3/dsuactn
106
add wave -noupdate -format Logic /testbench/d3/rxd1
107
add wave -noupdate -format Logic /testbench/d3/txd1
108
add wave -noupdate -format Logic /testbench/d3/emdio
109
add wave -noupdate -format Logic /testbench/d3/etx_clk
110
add wave -noupdate -format Logic /testbench/d3/erx_clk
111
add wave -noupdate -format Literal /testbench/d3/erxd
112
add wave -noupdate -format Logic /testbench/d3/erx_dv
113
add wave -noupdate -format Logic /testbench/d3/erx_er
114
add wave -noupdate -format Logic /testbench/d3/erx_col
115
add wave -noupdate -format Logic /testbench/d3/erx_crs
116
add wave -noupdate -format Literal /testbench/d3/etxd
117
add wave -noupdate -format Logic /testbench/d3/etx_en
118
add wave -noupdate -format Logic /testbench/d3/etx_er
119
add wave -noupdate -format Logic /testbench/d3/emdc
120
add wave -noupdate -format Logic /testbench/d3/emddis
121
add wave -noupdate -format Logic /testbench/d3/epwrdwn
122
add wave -noupdate -format Logic /testbench/d3/ereset
123
add wave -noupdate -format Logic /testbench/d3/esleep
124
add wave -noupdate -format Logic /testbench/d3/epause
125
add wave -noupdate -format Literal /testbench/d3/vcc
126
add wave -noupdate -format Literal /testbench/d3/gnd
127
add wave -noupdate -format Literal /testbench/d3/memi
128
add wave -noupdate -format Literal /testbench/d3/memo
129
add wave -noupdate -format Literal /testbench/d3/wpo
130
add wave -noupdate -format Literal /testbench/d3/sdi
131
add wave -noupdate -format Literal /testbench/d3/sdo
132
add wave -noupdate -format Literal /testbench/d3/sdo2
133
add wave -noupdate -format Literal /testbench/d3/sdo3
134
add wave -noupdate -format Literal /testbench/d3/apbi
135
add wave -noupdate -format Literal /testbench/d3/apbo
136
add wave -noupdate -format Literal /testbench/d3/ahbsi
137
add wave -noupdate -format Literal /testbench/d3/ahbso
138
add wave -noupdate -format Literal /testbench/d3/ahbmi
139
add wave -noupdate -format Literal /testbench/d3/ahbmo
140
add wave -noupdate -format Logic /testbench/d3/clkm
141
add wave -noupdate -format Logic /testbench/d3/rstn
142
add wave -noupdate -format Logic /testbench/d3/sdclkl
143
add wave -noupdate -format Literal /testbench/d3/cgi
144
add wave -noupdate -format Literal /testbench/d3/cgo
145
add wave -noupdate -format Literal /testbench/d3/u1i
146
add wave -noupdate -format Literal /testbench/d3/dui
147
add wave -noupdate -format Literal /testbench/d3/u1o
148
add wave -noupdate -format Literal /testbench/d3/duo
149
add wave -noupdate -format Literal /testbench/d3/irqi
150
add wave -noupdate -format Literal /testbench/d3/irqo
151
add wave -noupdate -format Literal /testbench/d3/dbgi
152
add wave -noupdate -format Literal /testbench/d3/dbgo
153
add wave -noupdate -format Literal /testbench/d3/dsui
154
add wave -noupdate -format Literal /testbench/d3/dsuo
155
add wave -noupdate -format Literal /testbench/d3/ethi
156
add wave -noupdate -format Literal /testbench/d3/ethi1
157
add wave -noupdate -format Literal /testbench/d3/ethi2
158
add wave -noupdate -format Literal /testbench/d3/etho
159
add wave -noupdate -format Literal /testbench/d3/etho1
160
add wave -noupdate -format Literal /testbench/d3/etho2
161
add wave -noupdate -format Literal /testbench/d3/gpti
162
add wave -noupdate -format Literal /testbench/d3/sa
163
add wave -noupdate -format Literal /testbench/d3/sd
164
add wave -noupdate -format Literal /testbench/d3/edcli
165
add wave -noupdate -format Logic /testbench/d3/dsubre
166
add wave -noupdate -format Logic /testbench/d3/dsuact
167
add wave -noupdate -format Logic /testbench/d3/oen_ctrl
168
add wave -noupdate -format Logic /testbench/d3/sdram_selected
169
add wave -noupdate -format Literal /testbench/d3/s_ramsn
170
add wave -noupdate -format Literal /testbench/d3/s_sddqm
171
TreeUpdate [SetDefaultTree]
172
WaveRestoreCursors {{Cursor 1} {3465000 ps} 0}
173
configure wave -namecolwidth 150
174
configure wave -valuecolwidth 100
175
configure wave -justifyvalue left
176
configure wave -signalnamewidth 1
177
configure wave -snapdistance 10
178
configure wave -datasetprefix 0
179
configure wave -rowmargin 4
180
configure wave -childrowmargin 2
181
configure wave -gridoffset 0
182
configure wave -gridperiod 1
183
configure wave -griddelta 40
184
configure wave -timeline 0
185
update
186
WaveRestoreZoom {2172976 ps} {4757024 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.