OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-cpci-ax/] [tkconfig.h] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
#if defined CONFIG_SYN_INFERRED
2
#define CONFIG_SYN_TECH inferred
3
#elif defined CONFIG_SYN_RHUMC
4
#define CONFIG_SYN_TECH rhumc
5
#elif defined CONFIG_SYN_ATC18
6
#define CONFIG_SYN_TECH atc18s
7
#elif defined CONFIG_SYN_AXCEL
8
#define CONFIG_SYN_TECH axcel
9
#elif defined CONFIG_SYN_PROASICPLUS
10
#define CONFIG_SYN_TECH proasic
11
#elif defined CONFIG_SYN_ALTERA
12
#define CONFIG_SYN_TECH altera
13
#elif defined CONFIG_SYN_STRATIX
14
#define CONFIG_SYN_TECH stratx
15
#elif defined CONFIG_SYN_STRATIXII
16
#define CONFIG_SYN_TECH stratxii
17
#elif defined CONFIG_SYN_IHP25
18
#define CONFIG_SYN_TECH ihp25
19
#elif defined CONFIG_SYN_IHP25RH
20
#define CONFIG_SYN_TECH ihp25rh
21
#elif defined CONFIG_SYN_LATTICE
22
#define CONFIG_SYN_TECH lattice
23
#elif defined CONFIG_SYN_ECLIPSE
24
#define CONFIG_SYN_TECH eclipse
25
#elif defined CONFIG_SYN_PEREGRINE
26
#define CONFIG_SYN_TECH peregrine
27
#elif defined CONFIG_SYN_PROASIC
28
#define CONFIG_SYN_TECH proasic
29
#elif defined CONFIG_SYN_PROASIC3
30
#define CONFIG_SYN_TECH apa3
31
#elif defined CONFIG_SYN_SPARTAN2
32
#define CONFIG_SYN_TECH virtex
33
#elif defined CONFIG_SYN_VIRTEX
34
#define CONFIG_SYN_TECH virtex
35
#elif defined CONFIG_SYN_VIRTEXE
36
#define CONFIG_SYN_TECH virtex
37
#elif defined CONFIG_SYN_SPARTAN3
38
#define CONFIG_SYN_TECH spartan3
39
#elif defined CONFIG_SYN_SPARTAN3E
40
#define CONFIG_SYN_TECH spartan3e
41
#elif defined CONFIG_SYN_VIRTEX2
42
#define CONFIG_SYN_TECH virtex2
43
#elif defined CONFIG_SYN_VIRTEX4
44
#define CONFIG_SYN_TECH virtex4
45
#elif defined CONFIG_SYN_VIRTEX5
46
#define CONFIG_SYN_TECH virtex5
47
#elif defined CONFIG_SYN_RH_LIB18T
48
#define CONFIG_SYN_TECH rhlib18t
49
#elif defined CONFIG_SYN_UT025CRH
50
#define CONFIG_SYN_TECH ut25
51
#elif defined CONFIG_SYN_CUSTOM1
52
#define CONFIG_SYN_TECH custom1
53
#else
54
#error "unknown target technology"
55
#endif
56
 
57
#if defined CONFIG_SYN_INFER_RAM
58
#define CFG_RAM_TECH inferred
59
#elif defined CONFIG_MEM_RHUMC
60
#define CFG_RAM_TECH rhumc
61
#elif defined CONFIG_MEM_VIRAGE
62
#define CFG_RAM_TECH memvirage
63
#elif defined CONFIG_MEM_ARTISAN
64
#define CFG_RAM_TECH memartisan
65
#elif defined CONFIG_MEM_CUSTOM1
66
#define CFG_RAM_TECH custom1
67
#else
68
#define CFG_RAM_TECH CONFIG_SYN_TECH
69
#endif
70
 
71
#if defined CONFIG_SYN_INFER_PADS
72
#define CFG_PAD_TECH inferred
73
#else
74
#define CFG_PAD_TECH CONFIG_SYN_TECH
75
#endif
76
 
77
#ifndef CONFIG_SYN_NO_ASYNC
78
#define CONFIG_SYN_NO_ASYNC 0
79
#endif
80
 
81
 
82
 
83
#if defined CONFIG_CLK_ALTDLL
84
#define CFG_CLK_TECH stratix
85
#elif defined CONFIG_CLK_HCLKBUF
86
#define CFG_CLK_TECH axcel
87
#elif defined CONFIG_CLK_LATDLL
88
#define CFG_CLK_TECH lattice
89
#elif defined CONFIG_CLK_CLKDLL
90
#define CFG_CLK_TECH virtex
91
#elif defined CONFIG_CLK_DCM
92
#define CFG_CLK_TECH CONFIG_SYN_TECH
93
#elif defined CONFIG_CLK_LIB18T
94
#define CFG_CLK_TECH rhlib18t
95
#else
96
#define CFG_CLK_TECH inferred
97
#endif
98
 
99
#ifndef CONFIG_CLK_MUL
100
#define CONFIG_CLK_MUL 2
101
#endif
102
 
103
#ifndef CONFIG_CLK_DIV
104
#define CONFIG_CLK_DIV 2
105
#endif
106
 
107
#ifndef CONFIG_PCI_CLKDLL
108
#define CONFIG_PCI_CLKDLL 0
109
#endif
110
 
111
#ifndef CONFIG_PCI_SYSCLK
112
#define CONFIG_PCI_SYSCLK 0
113
#endif
114
 
115
#ifndef CONFIG_CLK_NOFB
116
#define CONFIG_CLK_NOFB 0
117
#endif
118
#ifndef CONFIG_LEON3
119
#define CONFIG_LEON3 0
120
#endif
121
 
122
#ifndef CONFIG_PROC_NUM
123
#define CONFIG_PROC_NUM 1
124
#endif
125
 
126
#ifndef CONFIG_IU_NWINDOWS
127
#define CONFIG_IU_NWINDOWS 8
128
#endif
129
 
130
#ifndef CONFIG_IU_RSTADDR
131
#define CONFIG_IU_RSTADDR 8
132
#endif
133
 
134
#ifndef CONFIG_IU_LDELAY
135
#define CONFIG_IU_LDELAY 1
136
#endif
137
 
138
#ifndef CONFIG_IU_WATCHPOINTS
139
#define CONFIG_IU_WATCHPOINTS 0
140
#endif
141
 
142
#ifdef CONFIG_IU_V8MULDIV
143
#ifdef CONFIG_IU_MUL_LATENCY_4
144
#define CFG_IU_V8 1
145
#else
146
#define CFG_IU_V8 2
147
#endif
148
#else
149
#define CFG_IU_V8 0
150
#endif
151
#ifndef CONFIG_PWD
152
#define CONFIG_PWD 0
153
#endif
154
 
155
#ifndef CONFIG_IU_MUL_MAC
156
#define CONFIG_IU_MUL_MAC 0
157
#endif
158
 
159
#ifndef CONFIG_IU_SVT
160
#define CONFIG_IU_SVT 0
161
#endif
162
 
163
#if defined CONFIG_FPU_GRFPC1
164
#define CONFIG_FPU_GRFPC 1
165
#elif defined CONFIG_FPU_GRFPC2
166
#define CONFIG_FPU_GRFPC 2
167
#else
168
#define CONFIG_FPU_GRFPC 0
169
#endif
170
 
171
#if defined CONFIG_FPU_GRFPU_INFMUL
172
#define CONFIG_FPU_GRFPU_MUL 0
173
#elif defined CONFIG_FPU_GRFPU_DWMUL
174
#define CONFIG_FPU_GRFPU_MUL 1
175
#elif defined CONFIG_FPU_GRFPU_MODGEN 
176
#define CONFIG_FPU_GRFPU_MUL 2
177
#else
178
#define CONFIG_FPU_GRFPU_MUL 0
179
#endif
180
 
181
#if defined CONFIG_FPU_GRFPU_SH
182
#define CONFIG_FPU_GRFPU_SHARED 1
183
#else
184
#define CONFIG_FPU_GRFPU_SHARED 0
185
#endif
186
 
187
#if defined CONFIG_FPU_GRFPU
188
#define CONFIG_FPU (1+CONFIG_FPU_GRFPU_MUL)
189
#elif defined CONFIG_FPU_MEIKO
190
#define CONFIG_FPU 15
191
#elif defined CONFIG_FPU_GRFPULITE
192
#define CONFIG_FPU (8+CONFIG_FPU_GRFPC)
193
#else
194
#define CONFIG_FPU 0
195
#endif
196
 
197
#ifndef CONFIG_FPU_NETLIST
198
#define CONFIG_FPU_NETLIST 0
199
#endif
200
 
201
#ifndef CONFIG_ICACHE_ENABLE
202
#define CONFIG_ICACHE_ENABLE 0
203
#endif
204
 
205
#if defined CONFIG_ICACHE_ASSO1
206
#define CFG_IU_ISETS 1
207
#elif defined CONFIG_ICACHE_ASSO2
208
#define CFG_IU_ISETS 2
209
#elif defined CONFIG_ICACHE_ASSO3
210
#define CFG_IU_ISETS 3
211
#elif defined CONFIG_ICACHE_ASSO4
212
#define CFG_IU_ISETS 4
213
#else
214
#define CFG_IU_ISETS 1
215
#endif
216
 
217
#if defined CONFIG_ICACHE_SZ1
218
#define CFG_ICACHE_SZ 1
219
#elif defined CONFIG_ICACHE_SZ2
220
#define CFG_ICACHE_SZ 2
221
#elif defined CONFIG_ICACHE_SZ4
222
#define CFG_ICACHE_SZ 4
223
#elif defined CONFIG_ICACHE_SZ8
224
#define CFG_ICACHE_SZ 8
225
#elif defined CONFIG_ICACHE_SZ16
226
#define CFG_ICACHE_SZ 16
227
#elif defined CONFIG_ICACHE_SZ32
228
#define CFG_ICACHE_SZ 32
229
#elif defined CONFIG_ICACHE_SZ64
230
#define CFG_ICACHE_SZ 64
231
#elif defined CONFIG_ICACHE_SZ128
232
#define CFG_ICACHE_SZ 128
233
#elif defined CONFIG_ICACHE_SZ256
234
#define CFG_ICACHE_SZ 256
235
#else
236
#define CFG_ICACHE_SZ 1
237
#endif
238
 
239
#ifdef CONFIG_ICACHE_LZ16
240
#define CFG_ILINE_SZ 4
241
#else
242
#define CFG_ILINE_SZ 8
243
#endif
244
 
245
#if defined CONFIG_ICACHE_ALGORND
246
#define CFG_ICACHE_ALGORND 2
247
#elif defined CONFIG_ICACHE_ALGOLRR
248
#define CFG_ICACHE_ALGORND 1
249
#else
250
#define CFG_ICACHE_ALGORND 0
251
#endif
252
 
253
#ifndef CONFIG_ICACHE_LOCK
254
#define CONFIG_ICACHE_LOCK 0
255
#endif
256
 
257
#ifndef CONFIG_ICACHE_LRAM
258
#define CONFIG_ICACHE_LRAM 0
259
#endif
260
 
261
#ifndef CONFIG_ICACHE_LRSTART
262
#define CONFIG_ICACHE_LRSTART 8E
263
#endif
264
 
265
#if defined CONFIG_ICACHE_LRAM_SZ2
266
#define CFG_ILRAM_SIZE 2
267
#elif defined CONFIG_ICACHE_LRAM_SZ4
268
#define CFG_ILRAM_SIZE 4
269
#elif defined CONFIG_ICACHE_LRAM_SZ8
270
#define CFG_ILRAM_SIZE 8
271
#elif defined CONFIG_ICACHE_LRAM_SZ16
272
#define CFG_ILRAM_SIZE 16
273
#elif defined CONFIG_ICACHE_LRAM_SZ32
274
#define CFG_ILRAM_SIZE 32
275
#elif defined CONFIG_ICACHE_LRAM_SZ64
276
#define CFG_ILRAM_SIZE 64
277
#elif defined CONFIG_ICACHE_LRAM_SZ128
278
#define CFG_ILRAM_SIZE 128
279
#elif defined CONFIG_ICACHE_LRAM_SZ256
280
#define CFG_ILRAM_SIZE 256
281
#else
282
#define CFG_ILRAM_SIZE 1
283
#endif
284
 
285
 
286
#ifndef CONFIG_DCACHE_ENABLE
287
#define CONFIG_DCACHE_ENABLE 0
288
#endif
289
 
290
#if defined CONFIG_DCACHE_ASSO1
291
#define CFG_IU_DSETS 1
292
#elif defined CONFIG_DCACHE_ASSO2
293
#define CFG_IU_DSETS 2
294
#elif defined CONFIG_DCACHE_ASSO3
295
#define CFG_IU_DSETS 3
296
#elif defined CONFIG_DCACHE_ASSO4
297
#define CFG_IU_DSETS 4
298
#else
299
#define CFG_IU_DSETS 1
300
#endif
301
 
302
#if defined CONFIG_DCACHE_SZ1
303
#define CFG_DCACHE_SZ 1
304
#elif defined CONFIG_DCACHE_SZ2
305
#define CFG_DCACHE_SZ 2
306
#elif defined CONFIG_DCACHE_SZ4
307
#define CFG_DCACHE_SZ 4
308
#elif defined CONFIG_DCACHE_SZ8
309
#define CFG_DCACHE_SZ 8
310
#elif defined CONFIG_DCACHE_SZ16
311
#define CFG_DCACHE_SZ 16
312
#elif defined CONFIG_DCACHE_SZ32
313
#define CFG_DCACHE_SZ 32
314
#elif defined CONFIG_DCACHE_SZ64
315
#define CFG_DCACHE_SZ 64
316
#elif defined CONFIG_DCACHE_SZ128
317
#define CFG_DCACHE_SZ 128
318
#elif defined CONFIG_DCACHE_SZ256
319
#define CFG_DCACHE_SZ 256
320
#else
321
#define CFG_DCACHE_SZ 1
322
#endif
323
 
324
#ifdef CONFIG_DCACHE_LZ16
325
#define CFG_DLINE_SZ 4
326
#else
327
#define CFG_DLINE_SZ 8
328
#endif
329
 
330
#if defined CONFIG_DCACHE_ALGORND
331
#define CFG_DCACHE_ALGORND 2
332
#elif defined CONFIG_DCACHE_ALGOLRR
333
#define CFG_DCACHE_ALGORND 1
334
#else
335
#define CFG_DCACHE_ALGORND 0
336
#endif
337
 
338
#ifndef CONFIG_DCACHE_LOCK
339
#define CONFIG_DCACHE_LOCK 0
340
#endif
341
 
342
#ifndef CONFIG_DCACHE_SNOOP
343
#define CONFIG_DCACHE_SNOOP 0
344
#endif
345
 
346
#ifndef CONFIG_DCACHE_SNOOP_FAST
347
#define CONFIG_DCACHE_SNOOP_FAST 0
348
#endif
349
 
350
#ifndef CONFIG_DCACHE_SNOOP_SEPTAG
351
#define CONFIG_DCACHE_SNOOP_SEPTAG 0
352
#endif
353
 
354
#ifndef CONFIG_CACHE_FIXED
355
#define CONFIG_CACHE_FIXED 0
356
#endif
357
 
358
#ifndef CONFIG_DCACHE_LRAM
359
#define CONFIG_DCACHE_LRAM 0
360
#endif
361
 
362
#ifndef CONFIG_DCACHE_LRSTART
363
#define CONFIG_DCACHE_LRSTART 8F
364
#endif
365
 
366
#if defined CONFIG_DCACHE_LRAM_SZ2
367
#define CFG_DLRAM_SIZE 2
368
#elif defined CONFIG_DCACHE_LRAM_SZ4
369
#define CFG_DLRAM_SIZE 4
370
#elif defined CONFIG_DCACHE_LRAM_SZ8
371
#define CFG_DLRAM_SIZE 8
372
#elif defined CONFIG_DCACHE_LRAM_SZ16
373
#define CFG_DLRAM_SIZE 16
374
#elif defined CONFIG_DCACHE_LRAM_SZ32
375
#define CFG_DLRAM_SIZE 32
376
#elif defined CONFIG_DCACHE_LRAM_SZ64
377
#define CFG_DLRAM_SIZE 64
378
#elif defined CONFIG_DCACHE_LRAM_SZ128
379
#define CFG_DLRAM_SIZE 128
380
#elif defined CONFIG_DCACHE_LRAM_SZ256
381
#define CFG_DLRAM_SIZE 256
382
#else
383
#define CFG_DLRAM_SIZE 1
384
#endif
385
 
386
 
387
#ifdef CONFIG_MMU_ENABLE
388
#define CONFIG_MMUEN 1
389
 
390
#ifdef CONFIG_MMU_SPLIT
391
#define CONFIG_TLB_TYPE 0
392
#endif
393
#ifdef CONFIG_MMU_COMBINED
394
#define CONFIG_TLB_TYPE 1
395
#endif
396
 
397
#ifdef CONFIG_MMU_REPARRAY
398
#define CONFIG_TLB_REP 0
399
#endif
400
#ifdef CONFIG_MMU_REPINCREMENT
401
#define CONFIG_TLB_REP 1
402
#endif
403
 
404
#ifdef CONFIG_MMU_I2 
405
#define CONFIG_ITLBNUM 2
406
#endif
407
#ifdef CONFIG_MMU_I4 
408
#define CONFIG_ITLBNUM 4
409
#endif
410
#ifdef CONFIG_MMU_I8 
411
#define CONFIG_ITLBNUM 8
412
#endif
413
#ifdef CONFIG_MMU_I16 
414
#define CONFIG_ITLBNUM 16
415
#endif
416
#ifdef CONFIG_MMU_I32
417
#define CONFIG_ITLBNUM 32
418
#endif
419
 
420
#define CONFIG_DTLBNUM 2
421
#ifdef CONFIG_MMU_D2 
422
#undef CONFIG_DTLBNUM 
423
#define CONFIG_DTLBNUM 2
424
#endif
425
#ifdef CONFIG_MMU_D4 
426
#undef CONFIG_DTLBNUM 
427
#define CONFIG_DTLBNUM 4
428
#endif
429
#ifdef CONFIG_MMU_D8 
430
#undef CONFIG_DTLBNUM 
431
#define CONFIG_DTLBNUM 8
432
#endif
433
#ifdef CONFIG_MMU_D16 
434
#undef CONFIG_DTLBNUM 
435
#define CONFIG_DTLBNUM 16
436
#endif
437
#ifdef CONFIG_MMU_D32
438
#undef CONFIG_DTLBNUM 
439
#define CONFIG_DTLBNUM 32
440
#endif
441
 
442
#else
443
#define CONFIG_MMUEN 0
444
#define CONFIG_ITLBNUM 2
445
#define CONFIG_DTLBNUM 2
446
#define CONFIG_TLB_TYPE 1
447
#define CONFIG_TLB_REP 1
448
#endif
449
 
450
#ifndef CONFIG_DSU_ENABLE
451
#define CONFIG_DSU_ENABLE 0
452
#endif
453
 
454
#if defined CONFIG_DSU_ITRACESZ1
455
#define CFG_DSU_ITB 1
456
#elif CONFIG_DSU_ITRACESZ2
457
#define CFG_DSU_ITB 2
458
#elif CONFIG_DSU_ITRACESZ4
459
#define CFG_DSU_ITB 4
460
#elif CONFIG_DSU_ITRACESZ8
461
#define CFG_DSU_ITB 8
462
#elif CONFIG_DSU_ITRACESZ16
463
#define CFG_DSU_ITB 16
464
#else
465
#define CFG_DSU_ITB 0
466
#endif
467
 
468
#if defined CONFIG_DSU_ATRACESZ1
469
#define CFG_DSU_ATB 1
470
#elif CONFIG_DSU_ATRACESZ2
471
#define CFG_DSU_ATB 2
472
#elif CONFIG_DSU_ATRACESZ4
473
#define CFG_DSU_ATB 4
474
#elif CONFIG_DSU_ATRACESZ8
475
#define CFG_DSU_ATB 8
476
#elif CONFIG_DSU_ATRACESZ16
477
#define CFG_DSU_ATB 16
478
#else
479
#define CFG_DSU_ATB 0
480
#endif
481
 
482
#ifndef CONFIG_LEON3FT_EN
483
#define CONFIG_LEON3FT_EN 0
484
#endif
485
 
486
#if defined CONFIG_IUFT_PAR
487
#define CONFIG_IUFT_EN 1
488
#elif defined CONFIG_IUFT_DMR
489
#define CONFIG_IUFT_EN 2
490
#elif defined CONFIG_IUFT_BCH
491
#define CONFIG_IUFT_EN 3
492
#elif defined CONFIG_IUFT_TMR
493
#define CONFIG_IUFT_EN 4
494
#else
495
#define CONFIG_IUFT_EN 0
496
#endif
497
#ifndef CONFIG_RF_ERRINJ
498
#define CONFIG_RF_ERRINJ 0
499
#endif
500
 
501
#ifndef CONFIG_FPUFT_EN
502
#define CONFIG_FPUFT 0
503
#else
504
#ifdef CONFIG_FPU_GRFPU
505
#define CONFIG_FPUFT 2
506
#else
507
#define CONFIG_FPUFT 1
508
#endif
509
#endif
510
 
511
#ifndef CONFIG_CACHE_FT_EN
512
#define CONFIG_CACHE_FT_EN 0
513
#endif
514
#ifndef CONFIG_CACHE_ERRINJ
515
#define CONFIG_CACHE_ERRINJ 0
516
#endif
517
 
518
#ifndef CONFIG_LEON3_NETLIST
519
#define CONFIG_LEON3_NETLIST 0
520
#endif
521
 
522
#ifdef CONFIG_DEBUG_PC32
523
#define CFG_DEBUG_PC32 0 
524
#else
525
#define CFG_DEBUG_PC32 2
526
#endif
527
#ifndef CONFIG_IU_DISAS
528
#define CONFIG_IU_DISAS 0
529
#endif
530
#ifndef CONFIG_IU_DISAS_NET
531
#define CONFIG_IU_DISAS_NET 0
532
#endif
533
 
534
 
535
#ifndef CONFIG_AHB_SPLIT
536
#define CONFIG_AHB_SPLIT 0
537
#endif
538
 
539
#ifndef CONFIG_AHB_RROBIN
540
#define CONFIG_AHB_RROBIN 0
541
#endif
542
 
543
#ifndef CONFIG_AHB_IOADDR
544
#define CONFIG_AHB_IOADDR FFF
545
#endif
546
 
547
#ifndef CONFIG_APB_HADDR
548
#define CONFIG_APB_HADDR 800
549
#endif
550
 
551
#ifndef CONFIG_DSU_UART
552
#define CONFIG_DSU_UART 0
553
#endif
554
 
555
 
556
 
557
#ifndef CONFIG_SRCTRL
558
#define CONFIG_SRCTRL 0
559
#endif
560
 
561
#ifndef CONFIG_SRCTRL_PROMWS
562
#define CONFIG_SRCTRL_PROMWS 0
563
#endif
564
 
565
#ifndef CONFIG_SRCTRL_RAMWS
566
#define CONFIG_SRCTRL_RAMWS 0
567
#endif
568
 
569
#ifndef CONFIG_SRCTRL_IOWS
570
#define CONFIG_SRCTRL_IOWS 0
571
#endif
572
 
573
#ifndef CONFIG_SRCTRL_RMW
574
#define CONFIG_SRCTRL_RMW 0
575
#endif
576
 
577
#ifndef CONFIG_SRCTRL_8BIT
578
#define CONFIG_SRCTRL_8BIT 0
579
#endif
580
 
581
 
582
#ifndef CONFIG_SRCTRL_ROMASEL
583
#define CONFIG_SRCTRL_ROMASEL 0
584
#endif
585
 
586
#if defined CONFIG_SRCTRL_SRBANKS1
587
#define CFG_SR_CTRL_SRBANKS 1
588
#elif defined CONFIG_SRCTRL_SRBANKS2
589
#define CFG_SR_CTRL_SRBANKS 2
590
#elif defined CONFIG_SRCTRL_SRBANKS3
591
#define CFG_SR_CTRL_SRBANKS 3
592
#elif defined CONFIG_SRCTRL_SRBANKS4
593
#define CFG_SR_CTRL_SRBANKS 4
594
#elif defined CONFIG_SRCTRL_SRBANKS5
595
#define CFG_SR_CTRL_SRBANKS 5
596
#else
597
#define CFG_SR_CTRL_SRBANKS 1
598
#endif
599
 
600
#if defined CONFIG_SRCTRL_BANKSZ0
601
#define CFG_SR_CTRL_BANKSZ 0
602
#elif defined CONFIG_SRCTRL_BANKSZ1
603
#define CFG_SR_CTRL_BANKSZ 1
604
#elif defined CONFIG_SRCTRL_BANKSZ2
605
#define CFG_SR_CTRL_BANKSZ 2
606
#elif defined CONFIG_SRCTRL_BANKSZ3
607
#define CFG_SR_CTRL_BANKSZ 3
608
#elif defined CONFIG_SRCTRL_BANKSZ4
609
#define CFG_SR_CTRL_BANKSZ 4
610
#elif defined CONFIG_SRCTRL_BANKSZ5
611
#define CFG_SR_CTRL_BANKSZ 5
612
#elif defined CONFIG_SRCTRL_BANKSZ6
613
#define CFG_SR_CTRL_BANKSZ 6
614
#elif defined CONFIG_SRCTRL_BANKSZ7
615
#define CFG_SR_CTRL_BANKSZ 7
616
#elif defined CONFIG_SRCTRL_BANKSZ8
617
#define CFG_SR_CTRL_BANKSZ 8
618
#elif defined CONFIG_SRCTRL_BANKSZ9
619
#define CFG_SR_CTRL_BANKSZ 9
620
#elif defined CONFIG_SRCTRL_BANKSZ10
621
#define CFG_SR_CTRL_BANKSZ 10
622
#elif defined CONFIG_SRCTRL_BANKSZ11
623
#define CFG_SR_CTRL_BANKSZ 11
624
#elif defined CONFIG_SRCTRL_BANKSZ12
625
#define CFG_SR_CTRL_BANKSZ 12
626
#elif defined CONFIG_SRCTRL_BANKSZ13
627
#define CFG_SR_CTRL_BANKSZ 13
628
#else
629
#define CFG_SR_CTRL_BANKSZ 0
630
#endif
631
#ifndef CONFIG_MCTRL_LEON2
632
#define CONFIG_MCTRL_LEON2 0
633
#endif
634
 
635
#ifndef CONFIG_MCTRL_SDRAM
636
#define CONFIG_MCTRL_SDRAM 0
637
#endif
638
 
639
#ifndef CONFIG_MCTRL_SDRAM_SEPBUS
640
#define CONFIG_MCTRL_SDRAM_SEPBUS 0
641
#endif
642
 
643
#ifndef CONFIG_MCTRL_SDRAM_INVCLK
644
#define CONFIG_MCTRL_SDRAM_INVCLK 0
645
#endif
646
 
647
#ifndef CONFIG_MCTRL_SDRAM_BUS64
648
#define CONFIG_MCTRL_SDRAM_BUS64 0
649
#endif
650
 
651
#ifndef CONFIG_MCTRL_8BIT
652
#define CONFIG_MCTRL_8BIT 0
653
#endif
654
 
655
#ifndef CONFIG_MCTRL_16BIT
656
#define CONFIG_MCTRL_16BIT 0
657
#endif
658
 
659
#ifndef CONFIG_MCTRL_5CS
660
#define CONFIG_MCTRL_5CS 0
661
#endif
662
 
663
#ifndef CONFIG_MCTRL_EDAC
664
#define CONFIG_MCTRL_EDAC 0
665
#endif
666
 
667
#ifndef CONFIG_MCTRL_PAGE
668
#define CONFIG_MCTRL_PAGE 0
669
#endif
670
 
671
#ifndef CONFIG_MCTRL_PROGPAGE
672
#define CONFIG_MCTRL_PROGPAGE 0
673
#endif
674
 
675
#ifndef CONFIG_SDCTRL
676
#define CONFIG_SDCTRL 0
677
#endif
678
 
679
#ifndef CONFIG_SDCTRL_SEPBUS
680
#define CONFIG_SDCTRL_SEPBUS 0
681
#endif
682
 
683
#ifndef CONFIG_SDCTRL_INVCLK
684
#define CONFIG_SDCTRL_INVCLK 0
685
#endif
686
 
687
#ifndef CONFIG_SDCTRL_BUS64
688
#define CONFIG_SDCTRL_BUS64 0
689
#endif
690
 
691
#ifndef CONFIG_SDCTRL_PAGE
692
#define CONFIG_SDCTRL_PAGE 0
693
#endif
694
 
695
#ifndef CONFIG_SDCTRL_PROGPAGE
696
#define CONFIG_SDCTRL_PROGPAGE 0
697
#endif
698
 
699
 
700
#ifndef CONFIG_AHBRAM_ENABLE
701
#define CONFIG_AHBRAM_ENABLE 0
702
#endif
703
 
704
#ifndef CONFIG_AHBRAM_START
705
#define CONFIG_AHBRAM_START A00
706
#endif
707
 
708
#if defined CONFIG_AHBRAM_SZ1
709
#define CFG_AHBRAMSZ 1
710
#elif CONFIG_AHBRAM_SZ2
711
#define CFG_AHBRAMSZ 2
712
#elif CONFIG_AHBRAM_SZ4
713
#define CFG_AHBRAMSZ 4
714
#elif CONFIG_AHBRAM_SZ8
715
#define CFG_AHBRAMSZ 8
716
#elif CONFIG_AHBRAM_SZ16
717
#define CFG_AHBRAMSZ 16
718
#elif CONFIG_AHBRAM_SZ32
719
#define CFG_AHBRAMSZ 32
720
#elif CONFIG_AHBRAM_SZ64
721
#define CFG_AHBRAMSZ 64
722
#else
723
#define CFG_AHBRAMSZ 1
724
#endif
725
 
726
#if defined CONFIG_PCI_SIMPLE_TARGET
727
#define CFG_PCITYPE 1
728
#elif defined CONFIG_PCI_MASTER_TARGET_DMA
729
#define CFG_PCITYPE 3
730
#elif defined CONFIG_PCI_MASTER_TARGET
731
#define CFG_PCITYPE 2
732
#else
733
#define CFG_PCITYPE 0
734
#endif
735
 
736
#ifndef CONFIG_PCI_VENDORID
737
#define CONFIG_PCI_VENDORID 0
738
#endif
739
 
740
#ifndef CONFIG_PCI_DEVICEID
741
#define CONFIG_PCI_DEVICEID 0
742
#endif
743
 
744
#ifndef CONFIG_PCI_REVID
745
#define CONFIG_PCI_REVID 0
746
#endif
747
 
748
#if defined CONFIG_PCI_FIFO0
749
#define CFG_PCIFIFO 8
750
#define CFG_PCI_ENFIFO 0
751
#elif defined CONFIG_PCI_FIFO16
752
#define CFG_PCIFIFO 16
753
#elif defined CONFIG_PCI_FIFO32
754
#define CFG_PCIFIFO 32
755
#elif defined CONFIG_PCI_FIFO64
756
#define CFG_PCIFIFO 64
757
#elif defined CONFIG_PCI_FIFO128
758
#define CFG_PCIFIFO 128
759
#elif defined CONFIG_PCI_FIFO256
760
#define CFG_PCIFIFO 256
761
#else
762
#define CFG_PCIFIFO 8
763
#endif
764
 
765
#ifndef CFG_PCI_ENFIFO
766
#define CFG_PCI_ENFIFO 1
767
#endif
768
 
769
 
770
#ifndef CONFIG_PCI_ARBITER_APB
771
#define CONFIG_PCI_ARBITER_APB 0
772
#endif
773
 
774
#ifndef CONFIG_PCI_ARBITER
775
#define CONFIG_PCI_ARBITER 0
776
#endif
777
 
778
#ifndef CONFIG_PCI_ARBITER_NREQ
779
#define CONFIG_PCI_ARBITER_NREQ 4
780
#endif
781
 
782
#ifndef CONFIG_PCI_TRACE
783
#define CONFIG_PCI_TRACE 0
784
#endif
785
 
786
#if defined CONFIG_PCI_TRACE512
787
#define CFG_PCI_TRACEBUF 512
788
#elif defined CONFIG_PCI_TRACE1024
789
#define CFG_PCI_TRACEBUF 1024
790
#elif defined CONFIG_PCI_TRACE2048
791
#define CFG_PCI_TRACEBUF 2048
792
#elif defined CONFIG_PCI_TRACE4096
793
#define CFG_PCI_TRACEBUF 4096
794
#else
795
#define CFG_PCI_TRACEBUF 256
796
#endif
797
 
798
 
799
#ifndef CONFIG_UART1_ENABLE
800
#define CONFIG_UART1_ENABLE 0
801
#endif
802
 
803
#if defined CONFIG_UA1_FIFO1
804
#define CFG_UA1_FIFO 1
805
#elif defined CONFIG_UA1_FIFO2
806
#define CFG_UA1_FIFO 2
807
#elif defined CONFIG_UA1_FIFO4
808
#define CFG_UA1_FIFO 4
809
#elif defined CONFIG_UA1_FIFO8
810
#define CFG_UA1_FIFO 8
811
#elif defined CONFIG_UA1_FIFO16
812
#define CFG_UA1_FIFO 16
813
#elif defined CONFIG_UA1_FIFO32
814
#define CFG_UA1_FIFO 32
815
#else
816
#define CFG_UA1_FIFO 1
817
#endif
818
 
819
#ifndef CONFIG_UART2_ENABLE
820
#define CONFIG_UART2_ENABLE 0
821
#endif
822
 
823
#if defined CONFIG_UA2_FIFO1
824
#define CFG_UA2_FIFO 1
825
#elif defined CONFIG_UA2_FIFO2
826
#define CFG_UA2_FIFO 2
827
#elif defined CONFIG_UA2_FIFO4
828
#define CFG_UA2_FIFO 4
829
#elif defined CONFIG_UA2_FIFO8
830
#define CFG_UA2_FIFO 8
831
#elif defined CONFIG_UA2_FIFO16
832
#define CFG_UA2_FIFO 16
833
#elif defined CONFIG_UA2_FIFO32
834
#define CFG_UA2_FIFO 32
835
#else
836
#define CFG_UA2_FIFO 1
837
#endif
838
 
839
#ifndef CONFIG_IRQ3_ENABLE
840
#define CONFIG_IRQ3_ENABLE 0
841
#endif
842
#ifndef CONFIG_GPT_ENABLE
843
#define CONFIG_GPT_ENABLE 0
844
#endif
845
 
846
#ifndef CONFIG_GPT_NTIM
847
#define CONFIG_GPT_NTIM 1
848
#endif
849
 
850
#ifndef CONFIG_GPT_SW
851
#define CONFIG_GPT_SW 8
852
#endif
853
 
854
#ifndef CONFIG_GPT_TW
855
#define CONFIG_GPT_TW 8
856
#endif
857
 
858
#ifndef CONFIG_GPT_IRQ
859
#define CONFIG_GPT_IRQ 8
860
#endif
861
 
862
#ifndef CONFIG_GPT_SEPIRQ
863
#define CONFIG_GPT_SEPIRQ 0
864
#endif
865
#ifndef CONFIG_GPT_ENABLE
866
#define CONFIG_GPT_ENABLE 0
867
#endif
868
 
869
#ifndef CONFIG_GPT_NTIM
870
#define CONFIG_GPT_NTIM 1
871
#endif
872
 
873
#ifndef CONFIG_GPT_SW
874
#define CONFIG_GPT_SW 8
875
#endif
876
 
877
#ifndef CONFIG_GPT_TW
878
#define CONFIG_GPT_TW 8
879
#endif
880
 
881
#ifndef CONFIG_GPT_IRQ
882
#define CONFIG_GPT_IRQ 8
883
#endif
884
 
885
#ifndef CONFIG_GPT_SEPIRQ
886
#define CONFIG_GPT_SEPIRQ 0
887
#endif
888
 
889
#ifndef CONFIG_GPT_WDOGEN
890
#define CONFIG_GPT_WDOGEN 0
891
#endif
892
 
893
#ifndef CONFIG_GPT_WDOG
894
#define CONFIG_GPT_WDOG 0
895
#endif
896
 
897
#ifndef CONFIG_GRGPIO_ENABLE
898
#define CONFIG_GRGPIO_ENABLE 0
899
#endif
900
#ifndef CONFIG_GRGPIO_IMASK
901
#define CONFIG_GRGPIO_IMASK 0000
902
#endif
903
#ifndef CONFIG_GRGPIO_WIDTH
904
#define CONFIG_GRGPIO_WIDTH 1
905
#endif
906
 
907
 
908
#ifndef CONFIG_DEBUG_UART
909
#define CONFIG_DEBUG_UART 0
910
#endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.