OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-cpci-xc2v6000/] [leon3mp.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
-----------------------------------------------------------------------------
2
--  LEON3 Demonstration design
3
--  Copyright (C) 2004 Jiri Gaisler, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
18
------------------------------------------------------------------------------
19
 
20
 
21
library ieee;
22
use ieee.std_logic_1164.all;
23
library grlib, techmap;
24
use grlib.amba.all;
25
use grlib.stdlib.all;
26
use techmap.gencomp.all;
27
library gaisler;
28
use gaisler.memctrl.all;
29
use gaisler.leon3.all;
30
use gaisler.uart.all;
31
use gaisler.misc.all;
32
use gaisler.can.all;
33
use gaisler.pci.all;
34
use gaisler.net.all;
35
use gaisler.jtag.all;
36
use gaisler.spacewire.all;
37
 
38
library esa;
39
use esa.memoryctrl.all;
40
use esa.pcicomp.all;
41
use work.config.all;
42
 
43
entity leon3mp is
44
  generic (
45
    fabtech   : integer := CFG_FABTECH;
46
    memtech   : integer := CFG_MEMTECH;
47
    padtech   : integer := CFG_PADTECH;
48
    clktech   : integer := CFG_CLKTECH;
49
    disas     : integer := CFG_DISAS;   -- Enable disassembly to console
50
    dbguart   : integer := CFG_DUART;   -- Print UART on console
51
    pclow     : integer := CFG_PCLOW
52
  );
53
  port (
54
    resetn      : in  std_logic;
55
    clk         : in  std_logic;
56
    pllref      : in  std_logic;
57
    errorn      : out std_logic;
58
    address     : out std_logic_vector(27 downto 0);
59
    data        : inout std_logic_vector(31 downto 0);
60
    sa          : out std_logic_vector(14 downto 0);
61
    sd          : inout std_logic_vector(63 downto 0);
62
    sdclk       : out std_logic;
63
    sdcke       : out std_logic_vector (1 downto 0);    -- sdram clock enable
64
    sdcsn       : out std_logic_vector (1 downto 0);    -- sdram chip select
65
    sdwen       : out std_logic;                       -- sdram write enable
66
    sdrasn      : out std_logic;                       -- sdram ras
67
    sdcasn      : out std_logic;                       -- sdram cas
68
    sddqm       : out std_logic_vector (7 downto 0);    -- sdram dqm
69
    dsutx       : out std_logic;                        -- DSU tx data
70
    dsurx       : in  std_logic;                        -- DSU rx data
71
    dsuen       : in std_logic;
72
    dsubre      : in std_logic;
73
    dsuact      : out std_logic;
74
    txd1        : out std_logic;                        -- UART1 tx data
75
    rxd1        : in  std_logic;                        -- UART1 rx data
76
    txd2        : out std_logic;                        -- UART2 tx data
77
    rxd2        : in  std_logic;                        -- UART2 rx data
78
    ramsn       : out std_logic_vector (4 downto 0);
79
    ramoen      : out std_logic_vector (4 downto 0);
80
    rwen        : out std_logic_vector (3 downto 0);
81
    oen         : out std_logic;
82
    writen      : out std_logic;
83
    read        : out std_logic;
84
    iosn        : out std_logic;
85
    romsn       : out std_logic_vector (1 downto 0);
86
    gpio        : inout std_logic_vector(7 downto 0);    -- I/O port
87
 
88
    emdio       : inout std_logic;              -- ethernet PHY interface
89
    etx_clk     : in std_logic;
90
    erx_clk     : in std_logic;
91
    erxd        : in std_logic_vector(3 downto 0);
92
    erx_dv      : in std_logic;
93
    erx_er      : in std_logic;
94
    erx_col     : in std_logic;
95
    erx_crs     : in std_logic;
96
    etxd        : out std_logic_vector(3 downto 0);
97
    etx_en      : out std_logic;
98
    etx_er      : out std_logic;
99
    emdc        : out std_logic;
100
 
101
    pci_rst     : inout std_logic;              -- PCI bus
102
    pci_clk     : in std_logic;
103
    pci_gnt     : in std_logic;
104
    pci_idsel   : in std_logic;
105
    pci_lock    : inout std_logic;
106
    pci_ad      : inout std_logic_vector(31 downto 0);
107
    pci_cbe     : inout std_logic_vector(3 downto 0);
108
    pci_frame   : inout std_logic;
109
    pci_irdy    : inout std_logic;
110
    pci_trdy    : inout std_logic;
111
    pci_devsel  : inout std_logic;
112
    pci_stop    : inout std_logic;
113
    pci_perr    : inout std_logic;
114
    pci_par     : inout std_logic;
115
    pci_req     : inout std_logic;
116
    pci_serr    : inout std_logic;
117
    pci_host    : in std_logic;
118
    pci_66      : in std_logic;
119
    pci_arb_req : in  std_logic_vector(0 to 3);
120
    pci_arb_gnt : out std_logic_vector(0 to 3);
121
 
122
    can_txd     : out std_logic_vector(0 to 1);
123
    can_rxd     : in  std_logic_vector(0 to 1);
124
    can_stb     : out std_logic_vector(0 to 1);
125
 
126
    spw_rxd      : in  std_logic_vector(0 to 2);
127
    spw_rxdn     : in  std_logic_vector(0 to 2);
128
    spw_rxs      : in  std_logic_vector(0 to 2);
129
    spw_rxsn     : in  std_logic_vector(0 to 2);
130
    spw_txd      : out std_logic_vector(0 to 2);
131
    spw_txdn     : out std_logic_vector(0 to 2);
132
    spw_txs      : out std_logic_vector(0 to 2);
133
    spw_txsn     : out std_logic_vector(0 to 2)
134
 
135
        );
136
end;
137
 
138
architecture rtl of leon3mp is
139
 
140
constant blength : integer := 12;
141
constant fifodepth : integer := 8;
142
 
143
constant maxahbmsp : integer := CFG_NCPU+CFG_AHB_UART+
144
                                CFG_GRETH+CFG_AHB_JTAG+log2x(CFG_PCI);
145
constant maxahbm : integer   := (CFG_SPW_NUM*CFG_SPW_EN) + maxahbmsp;
146
 
147
signal vcc, gnd   : std_logic_vector(4 downto 0);
148
signal memi  : memory_in_type;
149
signal memo  : memory_out_type;
150
signal wpo   : wprot_out_type;
151
signal sdi   : sdctrl_in_type;
152
signal sdo   : sdram_out_type;
153
signal sdo2, sdo3 : sdctrl_out_type;
154
 
155
signal apbi  : apb_slv_in_type;
156
signal apbo  : apb_slv_out_vector := (others => apb_none);
157
signal ahbsi : ahb_slv_in_type;
158
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
159
signal ahbmi : ahb_mst_in_type;
160
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
161
 
162
signal clkm, rstn, rstraw, pciclk, sdclkl, spw_lclk  : std_logic;
163
signal cgi   : clkgen_in_type;
164
signal cgo   : clkgen_out_type;
165
signal u1i, u2i, dui : uart_in_type;
166
signal u1o, u2o, duo : uart_out_type;
167
 
168
signal irqi : irq_in_vector(0 to CFG_NCPU-1);
169
signal irqo : irq_out_vector(0 to CFG_NCPU-1);
170
 
171
signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
172
signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
173
 
174
signal dsui : dsu_in_type;
175
signal dsuo : dsu_out_type;
176
 
177
signal pcii : pci_in_type;
178
signal pcio : pci_out_type;
179
 
180
signal ethi, ethi1, ethi2 : eth_in_type;
181
signal etho, etho1, etho2 : eth_out_type;
182
 
183
signal gpti : gptimer_in_type;
184
 
185
signal can_lrx, can_ltx   : std_logic;
186
signal lclk, pci_lclk : std_logic;
187
signal pci_arb_req_n, pci_arb_gnt_n   : std_logic_vector(0 to 3);
188
 
189
signal tck, tms, tdi, tdo : std_logic;
190
 
191
signal spwi : grspw_in_type_vector(0 to 2);
192
signal spwo : grspw_out_type_vector(0 to 2);
193
 
194
signal fpi : grfpu_in_vector_type;
195
signal fpo : grfpu_out_vector_type;
196
 
197
constant BOARD_FREQ : integer := 40000; -- Board frequency in KHz
198
constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV;  -- cpu frequency in KHz
199
constant IOAEN : integer := CFG_SDCTRL+CFG_CAN+CFG_PCI;
200
 
201
begin
202
 
203
----------------------------------------------------------------------
204
---  Reset and Clock generation  -------------------------------------
205
----------------------------------------------------------------------
206
 
207
  vcc <= (others => '1'); gnd <= (others => '0');
208
  cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
209
 
210
  pllref_pad : clkpad generic map (tech => padtech) port map (pllref, cgi.pllref);
211
  clk_pad : clkpad generic map (tech => padtech) port map (clk, lclk);
212
  pci_clk_pad : clkpad generic map (tech => padtech, level => pci33)
213
            port map (pci_clk, pci_lclk);
214
  clkgen0 : clkgen              -- clock generator
215
    generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_SDEN,
216
        CFG_CLK_NOFB, CFG_PCI, CFG_PCIDLL, CFG_PCISYSCLK, BOARD_FREQ, CFG_SPW_EN)
217
    port map (lclk, pci_lclk, clkm, open, spw_lclk, sdclkl, pciclk, cgi, cgo);
218
  sdclk_pad : outpad generic map (tech => padtech, slew => 1, strength => 24)
219
        port map (sdclk, sdclkl);
220
 
221
  rst0 : rstgen                 -- reset generator
222
  port map (resetn, clkm, cgo.clklock, rstn, rstraw);
223
 
224
----------------------------------------------------------------------
225
---  AHB CONTROLLER --------------------------------------------------
226
----------------------------------------------------------------------
227
 
228
  ahb0 : ahbctrl                -- AHB arbiter/multiplexer
229
  generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
230
        rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => IOAEN,
231
        nahbm => maxahbm, nahbs => 8)
232
  port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
233
 
234
----------------------------------------------------------------------
235
---  LEON3 processor and DSU -----------------------------------------
236
----------------------------------------------------------------------
237
 
238
  l3 : if CFG_LEON3 = 1 generate
239
    nosh : if CFG_GRFPUSH = 0 generate
240
    cpu : for i in 0 to CFG_NCPU-1 generate
241
      u0 : leon3s                       -- LEON3 processor      
242
      generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
243
        0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
244
        CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
245
        CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
246
          CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
247
          CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1)
248
      port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
249
                irqi(i), irqo(i), dbgi(i), dbgo(i));
250
    end generate;
251
    end generate;
252
 
253
    sh : if CFG_GRFPUSH = 1 generate
254
    cpu : for i in 0 to CFG_NCPU-1 generate
255
      u0 : leon3sh                      -- LEON3 processor      
256
      generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
257
        0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
258
        CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
259
        CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
260
          CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
261
          CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1)
262
      port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
263
                irqi(i), irqo(i), dbgi(i), dbgo(i), fpi(i), fpo(i));
264
    end generate;
265
 
266
    grfpush0 : grfpushwx generic map ((CFG_FPU-1), CFG_NCPU)
267
      port map (clkm, rstn, fpi, fpo);
268
    end generate;
269
 
270
    errorn_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error);
271
 
272
    dsugen : if CFG_DSU = 1 generate
273
      dsu0 : dsu3                       -- LEON3 Debug Support Unit
274
      generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
275
         ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
276
      port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
277
      dsuen_pad : inpad generic map (tech => padtech) port map (dsuen, dsui.enable);
278
      dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break);
279
      dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active);
280
    end generate;
281
  end generate;
282
  nodsu : if CFG_DSU = 0 generate
283
    ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0';
284
  end generate;
285
 
286
  dcomgen : if CFG_AHB_UART = 1 generate
287
    dcom0: ahbuart              -- Debug UART
288
    generic map (hindex => CFG_NCPU, pindex => 7, paddr => 7)
289
    port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(CFG_NCPU));
290
    dsurx_pad : inpad generic map (tech => padtech) port map (dsurx, dui.rxd);
291
    dsutx_pad : outpad generic map (tech => padtech) port map (dsutx, duo.txd);
292
  end generate;
293
--  nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate;
294
 
295
  ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
296
    ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART)
297
      port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART),
298
               open, open, open, open, open, open, open, gnd(0));
299
  end generate;
300
 
301
----------------------------------------------------------------------
302
---  Memory controllers ----------------------------------------------
303
----------------------------------------------------------------------
304
 
305
  mg1 : if CFG_SRCTRL = 1 generate      -- 32-bit PROM/SRAM controller
306
    sr0 : srctrl generic map (hindex => 0,
307
        ramws => CFG_SRCTRL_RAMWS, romws => CFG_SRCTRL_PROMWS,
308
        ramaddr => 16#400#, rmw => CFG_SRCTRL_RMW)
309
    port map (rstn, clkm, ahbsi, ahbso(0), memi, memo, sdo3);
310
    apbo(0) <= apb_none;
311
  end generate;
312
  sd1 : if CFG_SDCTRL = 1 generate
313
      sdc : sdctrl generic map (hindex => 3, haddr => 16#600#, hmask => 16#F00#,
314
        ioaddr => 1, fast => 0, pwron => 0, invclk => CFG_SDCTRL_INVCLK,
315
        sdbits => 32 + 32*CFG_SDCTRL_SD64)
316
      port map (rstn, clkm, ahbsi, ahbso(3), sdi, sdo2);
317
      sa_pad : outpadv generic map (width => 15, tech => padtech)
318
           port map (sa, sdo2.address);
319
      sd_pad : iopadv generic map (width => 32, tech => padtech)
320
           port map (sd(31 downto 0), sdo2.data(31 downto 0), sdo2.bdrive, sdi.data(31 downto 0));
321
      sd2 : if CFG_SDCTRL_SD64 = 1 generate
322
        sd_pad2 : iopadv generic map (width => 32)
323
             port map (sd(63 downto 32), sdo2.data(63 downto 32), sdo2.bdrive, sdi.data(63 downto 32));
324
      end generate;
325
      sdcke_pad : outpadv generic map (width =>2, tech => padtech)
326
           port map (sdcke, sdo2.sdcke);
327
      sdwen_pad : outpad generic map (tech => padtech)
328
           port map (sdwen, sdo2.sdwen);
329
      sdcsn_pad : outpadv generic map (width =>2, tech => padtech)
330
           port map (sdcsn, sdo2.sdcsn);
331
      sdras_pad : outpad generic map (tech => padtech)
332
           port map (sdrasn, sdo2.rasn);
333
      sdcas_pad : outpad generic map (tech => padtech)
334
           port map (sdcasn, sdo2.casn);
335
      sddqm_pad : outpadv generic map (width =>8, tech => padtech)
336
           port map (sddqm, sdo2.dqm(7 downto 0));
337
  end generate;
338
--  sdsn : if (CFG_SDEN = 0) or (CFG_MEMC = 2)  generate ahbso(3) <= ahbs_none; end generate;
339
 
340
  mg2 : if CFG_MCTRL_LEON2 = 1 generate         -- LEON2 memory controller
341
    sr1 : mctrl generic map (hindex => 0, pindex => 0,
342
        paddr => 0, srbanks => 2, sden => CFG_MCTRL_SDEN,
343
        invclk => CFG_INVCLK, sepbus => CFG_MCTRL_SEPBUS,
344
        sdbits => 32 + 32*CFG_MCTRL_SD64)
345
    port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo);
346
    sdpads : if CFG_MCTRL_SDEN = 1 generate             -- SDRAM controller
347
      sd2 : if CFG_MCTRL_SEPBUS = 1 generate
348
        sa_pad : outpadv generic map (width => 15) port map (sa, memo.sa);
349
        bdr : for i in 0 to 3 generate
350
          sd_pad : iopadv generic map (tech => padtech, width => 8)
351
          port map (sd(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
352
                memo.bdrive(i), memi.sd(31-i*8 downto 24-i*8));
353
          sd2 : if CFG_MCTRL_SD64 = 1 generate
354
            sd_pad2 : iopadv generic map (tech => padtech, width => 8)
355
            port map (sd(31-i*8+32 downto 24-i*8+32), memo.data(31-i*8 downto 24-i*8),
356
                memo.bdrive(i), memi.sd(31-i*8+32 downto 24-i*8+32));
357
          end generate;
358
        end generate;
359
      end generate;
360
      sdwen_pad : outpad generic map (tech => padtech)
361
           port map (sdwen, sdo.sdwen);
362
      sdras_pad : outpad generic map (tech => padtech)
363
           port map (sdrasn, sdo.rasn);
364
      sdcas_pad : outpad generic map (tech => padtech)
365
           port map (sdcasn, sdo.casn);
366
      sddqm_pad : outpadv generic map (width =>8, tech => padtech)
367
           port map (sddqm, sdo.dqm);
368
      sdcke_pad : outpadv generic map (width =>2, tech => padtech)
369
           port map (sdcke, sdo.sdcke);
370
      sdcsn_pad : outpadv generic map (width =>2, tech => padtech)
371
           port map (sdcsn, sdo.sdcsn);
372
    end generate;
373
  end generate;
374
 
375
  nosd0 : if (CFG_SDEN = 0) generate             -- no SDRAM controller
376
      sdcke_pad : outpadv generic map (width =>2, tech => padtech)
377
           port map (sdcke, sdo3.sdcke);
378
      sdcsn_pad : outpadv generic map (width =>2, tech => padtech)
379
           port map (sdcsn, sdo3.sdcsn);
380
  end generate;
381
 
382
  memi.brdyn <= '1'; memi.bexcn <= '1';
383
  memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "10";
384
 
385
  mg0 : if (CFG_SRCTRL + CFG_MCTRL_LEON2) = 0 generate   -- No PROM/SRAM controller
386
    apbo(0) <= apb_none; ahbso(0) <= ahbs_none;
387
    rams_pad : outpadv generic map (width => 5, tech => padtech)
388
        port map (ramsn, vcc);
389
    roms_pad : outpadv generic map (width => 2, tech => padtech)
390
        port map (romsn, vcc(1 downto 0));
391
  end generate;
392
 
393
  mgpads : if (CFG_SRCTRL + CFG_MCTRL_LEON2) /= 0 generate       -- prom/sram pads
394
    addr_pad : outpadv generic map (width => 28, tech => padtech)
395
        port map (address, memo.address(27 downto 0));
396
    rams_pad : outpadv generic map (width => 5, tech => padtech)
397
        port map (ramsn, memo.ramsn(4 downto 0));
398
    roms_pad : outpadv generic map (width => 2, tech => padtech)
399
        port map (romsn, memo.romsn(1 downto 0));
400
    oen_pad  : outpad generic map (tech => padtech)
401
        port map (oen, memo.oen);
402
    rwen_pad : outpadv generic map (width => 4, tech => padtech)
403
        port map (rwen, memo.wrn);
404
    roen_pad : outpadv generic map (width => 5, tech => padtech)
405
        port map (ramoen, memo.ramoen(4 downto 0));
406
    wri_pad  : outpad generic map (tech => padtech)
407
        port map (writen, memo.writen);
408
    read_pad : outpad generic map (tech => padtech)
409
        port map (read, memo.read);
410
    iosn_pad : outpad generic map (tech => padtech)
411
        port map (iosn, memo.iosn);
412
    bdr : for i in 0 to 3 generate
413
      data_pad : iopadv generic map (tech => padtech, width => 8)
414
      port map (data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
415
        memo.bdrive(i), memi.data(31-i*8 downto 24-i*8));
416
    end generate;
417
  end generate;
418
 
419
----------------------------------------------------------------------
420
---  APB Bridge and various periherals -------------------------------
421
----------------------------------------------------------------------
422
 
423
  apb0 : apbctrl                                -- AHB/APB bridge
424
  generic map (hindex => 1, haddr => CFG_APBADDR)
425
  port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo );
426
 
427
  ua1 : if CFG_UART1_ENABLE /= 0 generate
428
    uart1 : apbuart                     -- UART 1
429
    generic map (pindex => 1, paddr => 1,  pirq => 2, console => dbguart,
430
        fifosize => CFG_UART1_FIFO)
431
    port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
432
    u1i.rxd <= rxd1; u1i.ctsn <= '0'; u1i.extclk <= '0'; txd1 <= u1o.txd;
433
  end generate;
434
--  noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
435
 
436
  ua2 : if CFG_UART2_ENABLE /= 0 generate
437
    uart2 : apbuart                     -- UART 2
438
    generic map (pindex => 9, paddr => 9,  pirq => 3, fifosize => CFG_UART2_FIFO)
439
    port map (rstn, clkm, apbi, apbo(9), u2i, u2o);
440
    u2i.rxd <= rxd2; u2i.ctsn <= '0'; u2i.extclk <= '0'; txd2 <= u2o.txd;
441
  end generate;
442
--  noua1 : if CFG_UART2_ENABLE = 0 generate apbo(9) <= apb_none; end generate;
443
 
444
  irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
445
    irqctrl0 : irqmp                    -- interrupt controller
446
    generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU)
447
    port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
448
  end generate;
449
  irq3 : if CFG_IRQ3_ENABLE = 0 generate
450
    x : for i in 0 to CFG_NCPU-1 generate
451
      irqi(i).irl <= "0000";
452
    end generate;
453
--    apbo(2) <= apb_none;
454
  end generate;
455
 
456
  gpt : if CFG_GPT_ENABLE /= 0 generate
457
    timer0 : gptimer                    -- timer unit
458
    generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
459
        sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
460
        nbits => CFG_GPT_TW)
461
    port map (rstn, clkm, apbi, apbo(3), gpti, open);
462
    gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
463
  end generate;
464
--  notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
465
 
466
-----------------------------------------------------------------------
467
---  PCI   ------------------------------------------------------------
468
-----------------------------------------------------------------------
469
 
470
  pp : if CFG_PCI /= 0 generate
471
 
472
    pci_gr0 : if CFG_PCI = 1 generate   -- simple target-only
473
      pci0 : pci_target generic map (hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
474
        device_id => CFG_PCIDID, vendor_id => CFG_PCIVID)
475
      port map (rstn, clkm, pciclk, pcii, pcio, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG));
476
    end generate;
477
 
478
    pci_mtf0 : if CFG_PCI = 2 generate  -- master/target with fifo
479
      pci0 : pci_mtf generic map (memtech => memtech, hmstndx => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
480
          fifodepth => log2(CFG_PCIDEPTH), device_id => CFG_PCIDID, vendor_id => CFG_PCIVID,
481
          hslvndx => 4, pindex => 4, paddr => 4, haddr => 16#E00#,
482
          ioaddr => 16#400#, nsync => 2, hostrst => 1)
483
      port map (rstn, clkm, pciclk, pcii, pcio, apbi, apbo(4),
484
        ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), ahbsi, ahbso(4));
485
    end generate;
486
 
487
    pci_mtf1 : if CFG_PCI = 3 generate  -- master/target with fifo and DMA
488
      dma : pcidma generic map (memtech => memtech, dmstndx => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1,
489
          dapbndx => 5, dapbaddr => 5, blength => blength, mstndx => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
490
          fifodepth => log2(fifodepth), device_id => CFG_PCIDID, vendor_id => CFG_PCIVID,
491
          slvndx => 4, apbndx => 4, apbaddr => 4, haddr => 16#E00#, ioaddr => 16#800#,
492
          nsync => 2, hostrst => 1)
493
        port map (rstn, clkm, pciclk, pcii, pcio, apbo(5),  ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1),
494
          apbi, apbo(4), ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), ahbsi, ahbso(4));
495
    end generate;
496
 
497
    pci_trc0 : if CFG_PCITBUFEN /= 0 generate    -- PCI trace buffer
498
      pt0 : pcitrace generic map (depth => (6 + log2(CFG_PCITBUF/256)),
499
        memtech => memtech, pindex  => 8, paddr => 16#100#, pmask => 16#f00#)
500
        port map ( rstn, clkm, pciclk, pcii, apbi, apbo(8));
501
    end generate;
502
 
503
    pcia0 : if CFG_PCI_ARB = 1 generate -- PCI arbiter
504
      pciarb0 : pciarb generic map (pindex => 10, paddr => 10,
505
                                    apb_en => CFG_PCI_ARBAPB)
506
       port map ( clk => pciclk, rst_n => pcii.rst,
507
         req_n => pci_arb_req_n, frame_n => pcii.frame,
508
         gnt_n => pci_arb_gnt_n, pclk => clkm,
509
         prst_n => rstn, apbi => apbi, apbo => apbo(10)
510
       );
511
      pgnt_pad : outpadv generic map (tech => padtech, width => 4)
512
        port map (pci_arb_gnt, pci_arb_gnt_n);
513
      preq_pad : inpadv generic map (tech => padtech, width => 4)
514
        port map (pci_arb_req, pci_arb_req_n);
515
    end generate;
516
 
517
    pcipads0 : pcipads generic map (padtech => padtech) -- PCI pads
518
    port map ( pci_rst, pci_gnt, pci_idsel, pci_lock, pci_ad, pci_cbe,
519
      pci_frame, pci_irdy, pci_trdy, pci_devsel, pci_stop, pci_perr,
520
      pci_par, pci_req, pci_serr, pci_host, pci_66, pcii, pcio );
521
 
522
  end generate;
523
 
524
--  nop1 : if CFG_PCI <= 1 generate apbo(4) <= apb_none; end generate;
525
--  nop2 : if CFG_PCI <= 2 generate apbo(5) <= apb_none; end generate;
526
--  nop3 : if CFG_PCI <= 1 generate ahbso(4) <= ahbs_none; end generate;
527
--  notrc : if CFG_PCITBUFEN = 0 generate apbo(8) <= apb_none; end generate;
528
--  noarb : if CFG_PCI_ARB = 0 generate apbo(10) <= apb_none; end generate;
529
 
530
 
531
-----------------------------------------------------------------------
532
---  ETHERNET ---------------------------------------------------------
533
-----------------------------------------------------------------------
534
 
535
  eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
536
      e1 : greth generic map(hindex => CFG_NCPU+CFG_AHB_UART+log2x(CFG_PCI)+CFG_AHB_JTAG,
537
        pindex => 15, paddr => 15, pirq => 6, memtech => memtech,
538
        mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
539
        nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
540
        macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL,
541
        ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL)
542
     port map( rst => rstn, clk => clkm, ahbmi => ahbmi,
543
       ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+log2x(CFG_PCI)+CFG_AHB_JTAG), apbi => apbi,
544
       apbo => apbo(15), ethi => ethi, etho => etho);
545
 
546
      emdio_pad : iopad generic map (tech => padtech)
547
      port map (emdio, etho.mdio_o, etho.mdio_oe, ethi.mdio_i);
548
      etxc_pad : inpad generic map (tech => padtech)
549
        port map (etx_clk, ethi.tx_clk);
550
      erxc_pad : inpad generic map (tech => padtech)
551
        port map (erx_clk, ethi.rx_clk);
552
      erxd_pad : inpadv generic map (tech => padtech, width => 4)
553
        port map (erxd, ethi.rxd(3 downto 0));
554
      erxdv_pad : inpad generic map (tech => padtech)
555
        port map (erx_dv, ethi.rx_dv);
556
      erxer_pad : inpad generic map (tech => padtech)
557
        port map (erx_er, ethi.rx_er);
558
      erxco_pad : inpad generic map (tech => padtech)
559
        port map (erx_col, ethi.rx_col);
560
      erxcr_pad : inpad generic map (tech => padtech)
561
        port map (erx_crs, ethi.rx_crs);
562
 
563
      etxd_pad : outpadv generic map (tech => padtech, width => 4)
564
        port map (etxd, etho.txd(3 downto 0));
565
      etxen_pad : outpad generic map (tech => padtech)
566
        port map ( etx_en, etho.tx_en);
567
      etxer_pad : outpad generic map (tech => padtech)
568
        port map (etx_er, etho.tx_er);
569
      emdc_pad : outpad generic map (tech => padtech)
570
        port map (emdc, etho.mdc);
571
 
572
    end generate;
573
 
574
-----------------------------------------------------------------------
575
---  CAN --------------------------------------------------------------
576
-----------------------------------------------------------------------
577
   can0 : if CFG_CAN = 1 generate
578
     can0 : can_oc generic map (slvndx => 6, ioaddr => CFG_CANIO,
579
        iomask => 16#FF0#, irq => CFG_CANIRQ, memtech => memtech)
580
      port map (rstn, clkm, ahbsi, ahbso(6), can_lrx, can_ltx );
581
   end generate;
582
--   ncan : if CFG_CAN = 0 generate ahbso(6) <= ahbs_none; end generate;
583
 
584
   can_stb(0) <= '0';   -- no standby
585
 
586
   can_loopback : if CFG_CANLOOP = 1 generate
587
     can_lrx <= can_ltx;
588
   end generate;
589
 
590
   can_pads : if CFG_CANLOOP = 0 generate
591
      can_tx_pad : outpad generic map (tech => padtech)
592
        port map (can_txd(0), can_ltx);
593
      can_rx_pad : inpad generic map (tech => padtech)
594
        port map (can_rxd(0), can_lrx);
595
    end generate;
596
 
597
-----------------------------------------------------------------------
598
---  SPACEWIRE  -------------------------------------------------------
599
-----------------------------------------------------------------------
600
 
601
  spw : if CFG_SPW_EN > 0 generate
602
   swloop : for i in 0 to CFG_SPW_NUM-1 generate
603
   sw0 : grspwm generic map(tech => fabtech,
604
     hindex => maxahbmsp+i, pindex => 10+i, paddr => 10+i, pirq => 10+i,
605
     sysfreq => cpu_freq, nsync => 1,  rmap => CFG_SPW_RMAP,
606
     rmapcrc => CFG_SPW_RMAPCRC, fifosize1 => CFG_SPW_AHBFIFO,
607
     fifosize2 => CFG_SPW_RXFIFO, rxclkbuftype => 1,
608
     rmapbufs => CFG_SPW_RMAPBUF, ft => CFG_SPW_FT,
609
     netlist => CFG_SPW_NETLIST, ports => 1, dmachan => 1,
610
     memtech => memtech, spwcore => CFG_SPW_GRSPW)
611
     port map(resetn, clkm, spw_lclk, ahbmi, ahbmo(maxahbmsp+i),
612
        apbi, apbo(10+i), spwi(i), spwo(i));
613
     spwi(i).tickin <= '0'; spwi(i).rmapen <= '0';
614
     spwi(i).clkdiv10 <= conv_std_logic_vector(CPU_FREQ*2/10000-1, 8);
615
     spw_rxd_pad : inpad_ds generic map (padtech, lvds, x33v)
616
        port map (spw_rxd(i), spw_rxdn(i), spwi(i).d(0));
617
     spw_rxs_pad : inpad_ds generic map (padtech, lvds, x33v)
618
        port map (spw_rxs(i), spw_rxsn(i), spwi(i).s(0));
619
     spw_txd_pad : outpad_ds generic map (padtech, lvds, x33v)
620
        port map (spw_txd(i), spw_txdn(i), spwo(i).d(0), gnd(0));
621
     spw_txs_pad : outpad_ds generic map (padtech, lvds, x33v)
622
        port map (spw_txs(i), spw_txsn(i), spwo(i).s(0), gnd(0));
623
   end generate;
624
  end generate;
625
 
626
 
627
-----------------------------------------------------------------------
628
---  AHB RAM ----------------------------------------------------------
629
-----------------------------------------------------------------------
630
 
631
  ocram : if CFG_AHBRAMEN = 1 generate
632
    ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR,
633
        tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ)
634
    port map ( rstn, clkm, ahbsi, ahbso(7));
635
  end generate;
636
--  nram : if CFG_AHBRAMEN = 0 generate ahbso(7) <= ahbs_none; end generate;
637
 
638
-----------------------------------------------------------------------
639
---  Drive unused bus elements  ---------------------------------------
640
-----------------------------------------------------------------------
641
 
642
--  nam1 : for i in (CFG_NCPU+CFG_AHB_UART+CFG_PCI+CFG_ETH+CFG_AHB_ETH+CFG_AHB_JTAG) to NAHBMST-1 generate
643
--    ahbmo(i) <= ahbm_none;
644
--  end generate;
645
--  nam2 : if CFG_PCI > 1 generate
646
--    ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_PCI-1) <= ahbm_none;
647
--  end generate;
648
--  nap0 : for i in 11 to NAPBSLV-1 generate apbo(i) <= apb_none; end generate;
649
--  apbo(6) <= apb_none;
650
 
651
-----------------------------------------------------------------------
652
---  Boot message  ----------------------------------------------------
653
-----------------------------------------------------------------------
654
 
655
-- pragma translate_off
656
  x : report_version
657
  generic map (
658
    msg1 => "LEON3 GR-CPCI-XC2V6000 Demonstration design",
659
    msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/1000) & "." & tost((LIBVHDL_VERSION mod 1000)/100)
660
        & "." & tost(LIBVHDL_VERSION mod 100) & ", build " & tost(LIBVHDL_BUILD),
661
     msg3 => "Target technology: " & tech_table(fabtech) & ",  memory library: " & tech_table(memtech),
662
     mdel => 1
663
  );
664
-- pragma translate_on
665
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.