OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-cpci-xc4v/] [tkconfig.h] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
#if defined CONFIG_SYN_INFERRED
2
#define CONFIG_SYN_TECH inferred
3
#elif defined CONFIG_SYN_UMC
4
#define CONFIG_SYN_TECH umc
5
#elif defined CONFIG_SYN_RHUMC
6
#define CONFIG_SYN_TECH rhumc
7
#elif defined CONFIG_SYN_ATC18
8
#define CONFIG_SYN_TECH atc18s
9
#elif defined CONFIG_SYN_ATC18RHA
10
#define CONFIG_SYN_TECH atc18rha
11
#elif defined CONFIG_SYN_AXCEL
12
#define CONFIG_SYN_TECH axcel
13
#elif defined CONFIG_SYN_PROASICPLUS
14
#define CONFIG_SYN_TECH proasic
15
#elif defined CONFIG_SYN_ALTERA
16
#define CONFIG_SYN_TECH altera
17
#elif defined CONFIG_SYN_STRATIX
18
#define CONFIG_SYN_TECH stratix1
19
#elif defined CONFIG_SYN_STRATIXII
20
#define CONFIG_SYN_TECH stratix2
21
#elif defined CONFIG_SYN_STRATIXIII
22
#define CONFIG_SYN_TECH stratix3
23
#elif defined CONFIG_SYN_CYCLONEIII
24
#define CONFIG_SYN_TECH cyclone3
25
#elif defined CONFIG_SYN_EASIC90
26
#define CONFIG_SYN_TECH easic90
27
#elif defined CONFIG_SYN_IHP25
28
#define CONFIG_SYN_TECH ihp25
29
#elif defined CONFIG_SYN_IHP25RH
30
#define CONFIG_SYN_TECH ihp25rh
31
#elif defined CONFIG_SYN_LATTICE
32
#define CONFIG_SYN_TECH lattice
33
#elif defined CONFIG_SYN_ECLIPSE
34
#define CONFIG_SYN_TECH eclipse
35
#elif defined CONFIG_SYN_PEREGRINE
36
#define CONFIG_SYN_TECH peregrine
37
#elif defined CONFIG_SYN_PROASIC
38
#define CONFIG_SYN_TECH proasic
39
#elif defined CONFIG_SYN_PROASIC3
40
#define CONFIG_SYN_TECH apa3
41
#elif defined CONFIG_SYN_SPARTAN2
42
#define CONFIG_SYN_TECH virtex
43
#elif defined CONFIG_SYN_VIRTEX
44
#define CONFIG_SYN_TECH virtex
45
#elif defined CONFIG_SYN_VIRTEXE
46
#define CONFIG_SYN_TECH virtex
47
#elif defined CONFIG_SYN_SPARTAN3
48
#define CONFIG_SYN_TECH spartan3
49
#elif defined CONFIG_SYN_SPARTAN3E
50
#define CONFIG_SYN_TECH spartan3e
51
#elif defined CONFIG_SYN_VIRTEX2
52
#define CONFIG_SYN_TECH virtex2
53
#elif defined CONFIG_SYN_VIRTEX4
54
#define CONFIG_SYN_TECH virtex4
55
#elif defined CONFIG_SYN_VIRTEX5
56
#define CONFIG_SYN_TECH virtex5
57
#elif defined CONFIG_SYN_RH_LIB18T
58
#define CONFIG_SYN_TECH rhlib18t
59
#elif defined CONFIG_SYN_UT025CRH
60
#define CONFIG_SYN_TECH ut25
61
#elif defined CONFIG_SYN_TSMC90
62
#define CONFIG_SYN_TECH tsmc90
63
#elif defined CONFIG_SYN_CUSTOM1
64
#define CONFIG_SYN_TECH custom1
65
#else
66
#error "unknown target technology"
67
#endif
68
 
69
#if defined CONFIG_SYN_INFER_RAM
70
#define CFG_RAM_TECH inferred
71
#elif defined CONFIG_MEM_UMC
72
#define CFG_RAM_TECH umc
73
#elif defined CONFIG_MEM_RHUMC
74
#define CFG_RAM_TECH rhumc
75
#elif defined CONFIG_MEM_VIRAGE
76
#define CFG_RAM_TECH memvirage
77
#elif defined CONFIG_MEM_ARTISAN
78
#define CFG_RAM_TECH memartisan
79
#elif defined CONFIG_MEM_CUSTOM1
80
#define CFG_RAM_TECH custom1
81
#elif defined CONFIG_MEM_VIRAGE90
82
#define CFG_RAM_TECH memvirage90
83
#elif defined CONFIG_MEM_INFERRED
84
#define CFG_RAM_TECH inferred
85
#else
86
#define CFG_RAM_TECH CONFIG_SYN_TECH
87
#endif
88
 
89
#if defined CONFIG_SYN_INFER_PADS
90
#define CFG_PAD_TECH inferred
91
#else
92
#define CFG_PAD_TECH CONFIG_SYN_TECH
93
#endif
94
 
95
#ifndef CONFIG_SYN_NO_ASYNC
96
#define CONFIG_SYN_NO_ASYNC 0
97
#endif
98
 
99
#ifndef CONFIG_SYN_SCAN
100
#define CONFIG_SYN_SCAN 0
101
#endif
102
 
103
 
104
#if defined CONFIG_CLK_ALTDLL
105
#define CFG_CLK_TECH CONFIG_SYN_TECH
106
#elif defined CONFIG_CLK_HCLKBUF
107
#define CFG_CLK_TECH axcel
108
#elif defined CONFIG_CLK_LATDLL
109
#define CFG_CLK_TECH lattice
110
#elif defined CONFIG_CLK_PRO3PLL
111
#define CFG_CLK_TECH apa3
112
#elif defined CONFIG_CLK_CLKDLL
113
#define CFG_CLK_TECH virtex
114
#elif defined CONFIG_CLK_DCM
115
#define CFG_CLK_TECH CONFIG_SYN_TECH
116
#elif defined CONFIG_CLK_LIB18T
117
#define CFG_CLK_TECH rhlib18t
118
#elif defined CONFIG_CLK_RHUMC
119
#define CFG_CLK_TECH rhumc
120
#else
121
#define CFG_CLK_TECH inferred
122
#endif
123
 
124
#ifndef CONFIG_CLK_MUL
125
#define CONFIG_CLK_MUL 2
126
#endif
127
 
128
#ifndef CONFIG_CLK_DIV
129
#define CONFIG_CLK_DIV 2
130
#endif
131
 
132
#ifndef CONFIG_OCLK_DIV
133
#define CONFIG_OCLK_DIV 2
134
#endif
135
 
136
#ifndef CONFIG_PCI_CLKDLL
137
#define CONFIG_PCI_CLKDLL 0
138
#endif
139
 
140
#ifndef CONFIG_PCI_SYSCLK
141
#define CONFIG_PCI_SYSCLK 0
142
#endif
143
 
144
#ifndef CONFIG_CLK_NOFB
145
#define CONFIG_CLK_NOFB 0
146
#endif
147
#ifndef CONFIG_LEON3
148
#define CONFIG_LEON3 0
149
#endif
150
 
151
#ifndef CONFIG_PROC_NUM
152
#define CONFIG_PROC_NUM 1
153
#endif
154
 
155
#ifndef CONFIG_IU_NWINDOWS
156
#define CONFIG_IU_NWINDOWS 8
157
#endif
158
 
159
#ifndef CONFIG_IU_RSTADDR
160
#define CONFIG_IU_RSTADDR 8
161
#endif
162
 
163
#ifndef CONFIG_IU_LDELAY
164
#define CONFIG_IU_LDELAY 1
165
#endif
166
 
167
#ifndef CONFIG_IU_WATCHPOINTS
168
#define CONFIG_IU_WATCHPOINTS 0
169
#endif
170
 
171
#ifdef CONFIG_IU_V8MULDIV
172
#ifdef CONFIG_IU_MUL_LATENCY_4
173
#define CFG_IU_V8 1
174
#elif defined CONFIG_IU_MUL_LATENCY_5
175
#define CFG_IU_V8 2
176
#elif defined CONFIG_IU_MUL_LATENCY_2
177
#define CFG_IU_V8 16#32#
178
#endif
179
#else
180
#define CFG_IU_V8 0
181
#endif
182
 
183
#ifndef CONFIG_PWD
184
#define CONFIG_PWD 0
185
#endif
186
 
187
#ifndef CONFIG_IU_MUL_MAC
188
#define CONFIG_IU_MUL_MAC 0
189
#endif
190
 
191
#ifndef CONFIG_IU_SVT
192
#define CONFIG_IU_SVT 0
193
#endif
194
 
195
#if defined CONFIG_FPU_GRFPC1
196
#define CONFIG_FPU_GRFPC 1
197
#elif defined CONFIG_FPU_GRFPC2
198
#define CONFIG_FPU_GRFPC 2
199
#else
200
#define CONFIG_FPU_GRFPC 0
201
#endif
202
 
203
#if defined CONFIG_FPU_GRFPU_INFMUL
204
#define CONFIG_FPU_GRFPU_MUL 0
205
#elif defined CONFIG_FPU_GRFPU_DWMUL
206
#define CONFIG_FPU_GRFPU_MUL 1
207
#elif defined CONFIG_FPU_GRFPU_MODGEN 
208
#define CONFIG_FPU_GRFPU_MUL 2
209
#else
210
#define CONFIG_FPU_GRFPU_MUL 0
211
#endif
212
 
213
#if defined CONFIG_FPU_GRFPU_SH
214
#define CONFIG_FPU_GRFPU_SHARED 1
215
#else
216
#define CONFIG_FPU_GRFPU_SHARED 0
217
#endif
218
 
219
#if defined CONFIG_FPU_GRFPU
220
#define CONFIG_FPU (1+CONFIG_FPU_GRFPU_MUL)
221
#elif defined CONFIG_FPU_MEIKO
222
#define CONFIG_FPU 15
223
#elif defined CONFIG_FPU_GRFPULITE
224
#define CONFIG_FPU (8+CONFIG_FPU_GRFPC)
225
#else
226
#define CONFIG_FPU 0
227
#endif
228
 
229
#ifndef CONFIG_FPU_NETLIST
230
#define CONFIG_FPU_NETLIST 0
231
#endif
232
 
233
#ifndef CONFIG_ICACHE_ENABLE
234
#define CONFIG_ICACHE_ENABLE 0
235
#endif
236
 
237
#if defined CONFIG_ICACHE_ASSO1
238
#define CFG_IU_ISETS 1
239
#elif defined CONFIG_ICACHE_ASSO2
240
#define CFG_IU_ISETS 2
241
#elif defined CONFIG_ICACHE_ASSO3
242
#define CFG_IU_ISETS 3
243
#elif defined CONFIG_ICACHE_ASSO4
244
#define CFG_IU_ISETS 4
245
#else
246
#define CFG_IU_ISETS 1
247
#endif
248
 
249
#if defined CONFIG_ICACHE_SZ1
250
#define CFG_ICACHE_SZ 1
251
#elif defined CONFIG_ICACHE_SZ2
252
#define CFG_ICACHE_SZ 2
253
#elif defined CONFIG_ICACHE_SZ4
254
#define CFG_ICACHE_SZ 4
255
#elif defined CONFIG_ICACHE_SZ8
256
#define CFG_ICACHE_SZ 8
257
#elif defined CONFIG_ICACHE_SZ16
258
#define CFG_ICACHE_SZ 16
259
#elif defined CONFIG_ICACHE_SZ32
260
#define CFG_ICACHE_SZ 32
261
#elif defined CONFIG_ICACHE_SZ64
262
#define CFG_ICACHE_SZ 64
263
#elif defined CONFIG_ICACHE_SZ128
264
#define CFG_ICACHE_SZ 128
265
#elif defined CONFIG_ICACHE_SZ256
266
#define CFG_ICACHE_SZ 256
267
#else
268
#define CFG_ICACHE_SZ 1
269
#endif
270
 
271
#ifdef CONFIG_ICACHE_LZ16
272
#define CFG_ILINE_SZ 4
273
#else
274
#define CFG_ILINE_SZ 8
275
#endif
276
 
277
#if defined CONFIG_ICACHE_ALGORND
278
#define CFG_ICACHE_ALGORND 2
279
#elif defined CONFIG_ICACHE_ALGOLRR
280
#define CFG_ICACHE_ALGORND 1
281
#else
282
#define CFG_ICACHE_ALGORND 0
283
#endif
284
 
285
#ifndef CONFIG_ICACHE_LOCK
286
#define CONFIG_ICACHE_LOCK 0
287
#endif
288
 
289
#ifndef CONFIG_ICACHE_LRAM
290
#define CONFIG_ICACHE_LRAM 0
291
#endif
292
 
293
#ifndef CONFIG_ICACHE_LRSTART
294
#define CONFIG_ICACHE_LRSTART 8E
295
#endif
296
 
297
#if defined CONFIG_ICACHE_LRAM_SZ2
298
#define CFG_ILRAM_SIZE 2
299
#elif defined CONFIG_ICACHE_LRAM_SZ4
300
#define CFG_ILRAM_SIZE 4
301
#elif defined CONFIG_ICACHE_LRAM_SZ8
302
#define CFG_ILRAM_SIZE 8
303
#elif defined CONFIG_ICACHE_LRAM_SZ16
304
#define CFG_ILRAM_SIZE 16
305
#elif defined CONFIG_ICACHE_LRAM_SZ32
306
#define CFG_ILRAM_SIZE 32
307
#elif defined CONFIG_ICACHE_LRAM_SZ64
308
#define CFG_ILRAM_SIZE 64
309
#elif defined CONFIG_ICACHE_LRAM_SZ128
310
#define CFG_ILRAM_SIZE 128
311
#elif defined CONFIG_ICACHE_LRAM_SZ256
312
#define CFG_ILRAM_SIZE 256
313
#else
314
#define CFG_ILRAM_SIZE 1
315
#endif
316
 
317
 
318
#ifndef CONFIG_DCACHE_ENABLE
319
#define CONFIG_DCACHE_ENABLE 0
320
#endif
321
 
322
#if defined CONFIG_DCACHE_ASSO1
323
#define CFG_IU_DSETS 1
324
#elif defined CONFIG_DCACHE_ASSO2
325
#define CFG_IU_DSETS 2
326
#elif defined CONFIG_DCACHE_ASSO3
327
#define CFG_IU_DSETS 3
328
#elif defined CONFIG_DCACHE_ASSO4
329
#define CFG_IU_DSETS 4
330
#else
331
#define CFG_IU_DSETS 1
332
#endif
333
 
334
#if defined CONFIG_DCACHE_SZ1
335
#define CFG_DCACHE_SZ 1
336
#elif defined CONFIG_DCACHE_SZ2
337
#define CFG_DCACHE_SZ 2
338
#elif defined CONFIG_DCACHE_SZ4
339
#define CFG_DCACHE_SZ 4
340
#elif defined CONFIG_DCACHE_SZ8
341
#define CFG_DCACHE_SZ 8
342
#elif defined CONFIG_DCACHE_SZ16
343
#define CFG_DCACHE_SZ 16
344
#elif defined CONFIG_DCACHE_SZ32
345
#define CFG_DCACHE_SZ 32
346
#elif defined CONFIG_DCACHE_SZ64
347
#define CFG_DCACHE_SZ 64
348
#elif defined CONFIG_DCACHE_SZ128
349
#define CFG_DCACHE_SZ 128
350
#elif defined CONFIG_DCACHE_SZ256
351
#define CFG_DCACHE_SZ 256
352
#else
353
#define CFG_DCACHE_SZ 1
354
#endif
355
 
356
#ifdef CONFIG_DCACHE_LZ16
357
#define CFG_DLINE_SZ 4
358
#else
359
#define CFG_DLINE_SZ 8
360
#endif
361
 
362
#if defined CONFIG_DCACHE_ALGORND
363
#define CFG_DCACHE_ALGORND 2
364
#elif defined CONFIG_DCACHE_ALGOLRR
365
#define CFG_DCACHE_ALGORND 1
366
#else
367
#define CFG_DCACHE_ALGORND 0
368
#endif
369
 
370
#ifndef CONFIG_DCACHE_LOCK
371
#define CONFIG_DCACHE_LOCK 0
372
#endif
373
 
374
#ifndef CONFIG_DCACHE_SNOOP
375
#define CONFIG_DCACHE_SNOOP 0
376
#endif
377
 
378
#ifndef CONFIG_DCACHE_SNOOP_FAST
379
#define CONFIG_DCACHE_SNOOP_FAST 0
380
#endif
381
 
382
#ifndef CONFIG_DCACHE_SNOOP_SEPTAG
383
#define CONFIG_DCACHE_SNOOP_SEPTAG 0
384
#endif
385
 
386
#ifndef CONFIG_CACHE_FIXED
387
#define CONFIG_CACHE_FIXED 0
388
#endif
389
 
390
#ifndef CONFIG_DCACHE_LRAM
391
#define CONFIG_DCACHE_LRAM 0
392
#endif
393
 
394
#ifndef CONFIG_DCACHE_LRSTART
395
#define CONFIG_DCACHE_LRSTART 8F
396
#endif
397
 
398
#if defined CONFIG_DCACHE_LRAM_SZ2
399
#define CFG_DLRAM_SIZE 2
400
#elif defined CONFIG_DCACHE_LRAM_SZ4
401
#define CFG_DLRAM_SIZE 4
402
#elif defined CONFIG_DCACHE_LRAM_SZ8
403
#define CFG_DLRAM_SIZE 8
404
#elif defined CONFIG_DCACHE_LRAM_SZ16
405
#define CFG_DLRAM_SIZE 16
406
#elif defined CONFIG_DCACHE_LRAM_SZ32
407
#define CFG_DLRAM_SIZE 32
408
#elif defined CONFIG_DCACHE_LRAM_SZ64
409
#define CFG_DLRAM_SIZE 64
410
#elif defined CONFIG_DCACHE_LRAM_SZ128
411
#define CFG_DLRAM_SIZE 128
412
#elif defined CONFIG_DCACHE_LRAM_SZ256
413
#define CFG_DLRAM_SIZE 256
414
#else
415
#define CFG_DLRAM_SIZE 1
416
#endif
417
 
418
 
419
#ifdef CONFIG_MMU_ENABLE
420
#define CONFIG_MMUEN 1
421
 
422
#ifdef CONFIG_MMU_SPLIT
423
#define CONFIG_TLB_TYPE 0
424
#endif
425
#ifdef CONFIG_MMU_COMBINED
426
#define CONFIG_TLB_TYPE 1
427
#endif
428
 
429
#ifdef CONFIG_MMU_REPARRAY
430
#define CONFIG_TLB_REP 0
431
#endif
432
#ifdef CONFIG_MMU_REPINCREMENT
433
#define CONFIG_TLB_REP 1
434
#endif
435
 
436
#ifdef CONFIG_MMU_I2 
437
#define CONFIG_ITLBNUM 2
438
#endif
439
#ifdef CONFIG_MMU_I4 
440
#define CONFIG_ITLBNUM 4
441
#endif
442
#ifdef CONFIG_MMU_I8 
443
#define CONFIG_ITLBNUM 8
444
#endif
445
#ifdef CONFIG_MMU_I16 
446
#define CONFIG_ITLBNUM 16
447
#endif
448
#ifdef CONFIG_MMU_I32
449
#define CONFIG_ITLBNUM 32
450
#endif
451
 
452
#define CONFIG_DTLBNUM 2
453
#ifdef CONFIG_MMU_D2 
454
#undef CONFIG_DTLBNUM 
455
#define CONFIG_DTLBNUM 2
456
#endif
457
#ifdef CONFIG_MMU_D4 
458
#undef CONFIG_DTLBNUM 
459
#define CONFIG_DTLBNUM 4
460
#endif
461
#ifdef CONFIG_MMU_D8 
462
#undef CONFIG_DTLBNUM 
463
#define CONFIG_DTLBNUM 8
464
#endif
465
#ifdef CONFIG_MMU_D16 
466
#undef CONFIG_DTLBNUM 
467
#define CONFIG_DTLBNUM 16
468
#endif
469
#ifdef CONFIG_MMU_D32
470
#undef CONFIG_DTLBNUM 
471
#define CONFIG_DTLBNUM 32
472
#endif
473
#ifdef CONFIG_MMU_FASTWB
474
#define CFG_MMU_FASTWB 1
475
#else
476
#define CFG_MMU_FASTWB 0
477
#endif
478
 
479
#else
480
#define CONFIG_MMUEN 0
481
#define CONFIG_ITLBNUM 2
482
#define CONFIG_DTLBNUM 2
483
#define CONFIG_TLB_TYPE 1
484
#define CONFIG_TLB_REP 1
485
#define CFG_MMU_FASTWB 0
486
#endif
487
 
488
#ifndef CONFIG_DSU_ENABLE
489
#define CONFIG_DSU_ENABLE 0
490
#endif
491
 
492
#if defined CONFIG_DSU_ITRACESZ1
493
#define CFG_DSU_ITB 1
494
#elif CONFIG_DSU_ITRACESZ2
495
#define CFG_DSU_ITB 2
496
#elif CONFIG_DSU_ITRACESZ4
497
#define CFG_DSU_ITB 4
498
#elif CONFIG_DSU_ITRACESZ8
499
#define CFG_DSU_ITB 8
500
#elif CONFIG_DSU_ITRACESZ16
501
#define CFG_DSU_ITB 16
502
#else
503
#define CFG_DSU_ITB 0
504
#endif
505
 
506
#if defined CONFIG_DSU_ATRACESZ1
507
#define CFG_DSU_ATB 1
508
#elif CONFIG_DSU_ATRACESZ2
509
#define CFG_DSU_ATB 2
510
#elif CONFIG_DSU_ATRACESZ4
511
#define CFG_DSU_ATB 4
512
#elif CONFIG_DSU_ATRACESZ8
513
#define CFG_DSU_ATB 8
514
#elif CONFIG_DSU_ATRACESZ16
515
#define CFG_DSU_ATB 16
516
#else
517
#define CFG_DSU_ATB 0
518
#endif
519
 
520
#ifndef CONFIG_LEON3FT_EN
521
#define CONFIG_LEON3FT_EN 0
522
#endif
523
 
524
#if defined CONFIG_IUFT_PAR
525
#define CONFIG_IUFT_EN 1
526
#elif defined CONFIG_IUFT_DMR
527
#define CONFIG_IUFT_EN 2
528
#elif defined CONFIG_IUFT_BCH
529
#define CONFIG_IUFT_EN 3
530
#elif defined CONFIG_IUFT_TMR
531
#define CONFIG_IUFT_EN 4
532
#else
533
#define CONFIG_IUFT_EN 0
534
#endif
535
#ifndef CONFIG_RF_ERRINJ
536
#define CONFIG_RF_ERRINJ 0
537
#endif
538
 
539
#ifndef CONFIG_FPUFT_EN
540
#define CONFIG_FPUFT 0
541
#else
542
#ifdef CONFIG_FPU_GRFPU
543
#define CONFIG_FPUFT 2
544
#else
545
#define CONFIG_FPUFT 1
546
#endif
547
#endif
548
 
549
#ifndef CONFIG_CACHE_FT_EN
550
#define CONFIG_CACHE_FT_EN 0
551
#endif
552
#ifndef CONFIG_CACHE_ERRINJ
553
#define CONFIG_CACHE_ERRINJ 0
554
#endif
555
 
556
#ifndef CONFIG_LEON3_NETLIST
557
#define CONFIG_LEON3_NETLIST 0
558
#endif
559
 
560
#ifdef CONFIG_DEBUG_PC32
561
#define CFG_DEBUG_PC32 0 
562
#else
563
#define CFG_DEBUG_PC32 2
564
#endif
565
#ifndef CONFIG_IU_DISAS
566
#define CONFIG_IU_DISAS 0
567
#endif
568
#ifndef CONFIG_IU_DISAS_NET
569
#define CONFIG_IU_DISAS_NET 0
570
#endif
571
 
572
 
573
#ifndef CONFIG_AHB_SPLIT
574
#define CONFIG_AHB_SPLIT 0
575
#endif
576
 
577
#ifndef CONFIG_AHB_RROBIN
578
#define CONFIG_AHB_RROBIN 0
579
#endif
580
 
581
#ifndef CONFIG_AHB_IOADDR
582
#define CONFIG_AHB_IOADDR FFF
583
#endif
584
 
585
#ifndef CONFIG_APB_HADDR
586
#define CONFIG_APB_HADDR 800
587
#endif
588
 
589
#ifndef CONFIG_AHB_MON
590
#define CONFIG_AHB_MON 0
591
#endif
592
 
593
#ifndef CONFIG_AHB_MONERR
594
#define CONFIG_AHB_MONERR 0
595
#endif
596
 
597
#ifndef CONFIG_AHB_MONWAR
598
#define CONFIG_AHB_MONWAR 0
599
#endif
600
 
601
#ifndef CONFIG_DSU_UART
602
#define CONFIG_DSU_UART 0
603
#endif
604
 
605
 
606
#ifndef CONFIG_DSU_JTAG
607
#define CONFIG_DSU_JTAG 0
608
#endif
609
 
610
#ifndef CONFIG_DSU_ETH
611
#define CONFIG_DSU_ETH 0
612
#endif
613
 
614
#ifndef CONFIG_DSU_IPMSB
615
#define CONFIG_DSU_IPMSB C0A8
616
#endif
617
 
618
#ifndef CONFIG_DSU_IPLSB
619
#define CONFIG_DSU_IPLSB 0033
620
#endif
621
 
622
#ifndef CONFIG_DSU_ETHMSB
623
#define CONFIG_DSU_ETHMSB 00007A
624
#endif
625
 
626
#ifndef CONFIG_DSU_ETHLSB
627
#define CONFIG_DSU_ETHLSB CC0001
628
#endif
629
 
630
#if defined CONFIG_DSU_ETHSZ1
631
#define CFG_DSU_ETHB 1
632
#elif CONFIG_DSU_ETHSZ2
633
#define CFG_DSU_ETHB 2
634
#elif CONFIG_DSU_ETHSZ4
635
#define CFG_DSU_ETHB 4
636
#elif CONFIG_DSU_ETHSZ8
637
#define CFG_DSU_ETHB 8
638
#elif CONFIG_DSU_ETHSZ16
639
#define CFG_DSU_ETHB 16
640
#elif CONFIG_DSU_ETHSZ32
641
#define CFG_DSU_ETHB 32
642
#else
643
#define CFG_DSU_ETHB 1
644
#endif
645
 
646
#ifndef CONFIG_DSU_ETH_PROG
647
#define CONFIG_DSU_ETH_PROG 0
648
#endif
649
 
650
#ifndef CONFIG_MCTRL_LEON2
651
#define CONFIG_MCTRL_LEON2 0
652
#endif
653
 
654
#ifndef CONFIG_MCTRL_SDRAM
655
#define CONFIG_MCTRL_SDRAM 0
656
#endif
657
 
658
#ifndef CONFIG_MCTRL_SDRAM_SEPBUS
659
#define CONFIG_MCTRL_SDRAM_SEPBUS 0
660
#endif
661
 
662
#ifndef CONFIG_MCTRL_SDRAM_INVCLK
663
#define CONFIG_MCTRL_SDRAM_INVCLK 0
664
#endif
665
 
666
#ifndef CONFIG_MCTRL_SDRAM_BUS64
667
#define CONFIG_MCTRL_SDRAM_BUS64 0
668
#endif
669
 
670
#ifndef CONFIG_MCTRL_8BIT
671
#define CONFIG_MCTRL_8BIT 0
672
#endif
673
 
674
#ifndef CONFIG_MCTRL_16BIT
675
#define CONFIG_MCTRL_16BIT 0
676
#endif
677
 
678
#ifndef CONFIG_MCTRL_5CS
679
#define CONFIG_MCTRL_5CS 0
680
#endif
681
 
682
#ifndef CONFIG_MCTRL_EDAC
683
#define CONFIG_MCTRL_EDAC 0
684
#endif
685
 
686
#ifndef CONFIG_MCTRL_PAGE
687
#define CONFIG_MCTRL_PAGE 0
688
#endif
689
 
690
#ifndef CONFIG_MCTRL_PROGPAGE
691
#define CONFIG_MCTRL_PROGPAGE 0
692
#endif
693
 
694
#ifndef CONFIG_AHBSTAT_ENABLE
695
#define CONFIG_AHBSTAT_ENABLE  0
696
#endif
697
 
698
#ifndef CONFIG_AHBSTAT_NFTSLV
699
#define CONFIG_AHBSTAT_NFTSLV  1
700
#endif
701
 
702
 
703
#ifndef CONFIG_AHBRAM_ENABLE
704
#define CONFIG_AHBRAM_ENABLE 0
705
#endif
706
 
707
#ifndef CONFIG_AHBRAM_START
708
#define CONFIG_AHBRAM_START A00
709
#endif
710
 
711
#if defined CONFIG_AHBRAM_SZ1
712
#define CFG_AHBRAMSZ 1
713
#elif CONFIG_AHBRAM_SZ2
714
#define CFG_AHBRAMSZ 2
715
#elif CONFIG_AHBRAM_SZ4
716
#define CFG_AHBRAMSZ 4
717
#elif CONFIG_AHBRAM_SZ8
718
#define CFG_AHBRAMSZ 8
719
#elif CONFIG_AHBRAM_SZ16
720
#define CFG_AHBRAMSZ 16
721
#elif CONFIG_AHBRAM_SZ32
722
#define CFG_AHBRAMSZ 32
723
#elif CONFIG_AHBRAM_SZ64
724
#define CFG_AHBRAMSZ 64
725
#else
726
#define CFG_AHBRAMSZ 1
727
#endif
728
 
729
#ifndef CONFIG_GRETH_ENABLE
730
#define CONFIG_GRETH_ENABLE 0
731
#endif
732
 
733
#ifndef CONFIG_GRETH_GIGA
734
#define CONFIG_GRETH_GIGA 0
735
#endif
736
 
737
#if defined CONFIG_GRETH_FIFO4
738
#define CFG_GRETH_FIFO 4
739
#elif defined CONFIG_GRETH_FIFO8
740
#define CFG_GRETH_FIFO 8
741
#elif defined CONFIG_GRETH_FIFO16
742
#define CFG_GRETH_FIFO 16
743
#elif defined CONFIG_GRETH_FIFO32
744
#define CFG_GRETH_FIFO 32
745
#elif defined CONFIG_GRETH_FIFO64
746
#define CFG_GRETH_FIFO 64
747
#else
748
#define CFG_GRETH_FIFO 8
749
#endif
750
 
751
#ifndef CONFIG_CAN_ENABLE
752
#define CONFIG_CAN_ENABLE 0
753
#endif
754
 
755
#ifndef CONFIG_CAN_NUM
756
#define CONFIG_CAN_NUM 1
757
#endif
758
 
759
#ifndef CONFIG_CANIO
760
#define CONFIG_CANIO 0
761
#endif
762
 
763
#ifndef CONFIG_CANIRQ
764
#define CONFIG_CANIRQ 0
765
#endif
766
 
767
#ifndef CONFIG_CANSEPIRQ
768
#define CONFIG_CANSEPIRQ 0
769
#endif
770
 
771
#ifndef CONFIG_CAN_SYNCRST
772
#define CONFIG_CAN_SYNCRST 0
773
#endif
774
 
775
#ifndef CONFIG_CAN_FT
776
#define CONFIG_CAN_FT 0
777
#endif
778
 
779
#ifndef CONFIG_SPW_ENABLE
780
#define CONFIG_SPW_ENABLE 0
781
#endif
782
 
783
#ifndef CONFIG_SPW_NUM
784
#define CONFIG_SPW_NUM 1
785
#endif
786
 
787
#if defined CONFIG_SPW_AHBFIFO4
788
#define CONFIG_SPW_AHBFIFO 4
789
#elif defined CONFIG_SPW_AHBFIFO8
790
#define CONFIG_SPW_AHBFIFO 8
791
#elif defined CONFIG_SPW_AHBFIFO16
792
#define CONFIG_SPW_AHBFIFO 16
793
#elif defined CONFIG_SPW_AHBFIFO32
794
#define CONFIG_SPW_AHBFIFO 32
795
#elif defined CONFIG_SPW_AHBFIFO64
796
#define CONFIG_SPW_AHBFIFO 64
797
#else
798
#define CONFIG_SPW_AHBFIFO 4
799
#endif
800
 
801
#if defined CONFIG_SPW_RXFIFO16
802
#define CONFIG_SPW_RXFIFO 16
803
#elif defined CONFIG_SPW_RXFIFO32
804
#define CONFIG_SPW_RXFIFO 32
805
#elif defined CONFIG_SPW_RXFIFO64
806
#define CONFIG_SPW_RXFIFO 64
807
#else
808
#define CONFIG_SPW_RXFIFO 16
809
#endif
810
 
811
#ifndef CONFIG_SPW_RMAP
812
#define CONFIG_SPW_RMAP 0
813
#endif
814
 
815
#if defined CONFIG_SPW_RMAPBUF2
816
#define CONFIG_SPW_RMAPBUF 2
817
#elif defined CONFIG_SPW_RMAPBUF4
818
#define CONFIG_SPW_RMAPBUF 4
819
#elif defined CONFIG_SPW_RMAPBUF6
820
#define CONFIG_SPW_RMAPBUF 6
821
#elif defined CONFIG_SPW_RMAPBUF8
822
#define CONFIG_SPW_RMAPBUF 8
823
#else
824
#define CONFIG_SPW_RMAPBUF 4
825
#endif
826
 
827
#ifndef CONFIG_SPW_RMAPCRC
828
#define CONFIG_SPW_RMAPCRC 0
829
#endif
830
 
831
#ifndef CONFIG_SPW_NETLIST
832
#define CONFIG_SPW_NETLIST 0
833
#endif
834
 
835
#ifndef CONFIG_SPW_FT
836
#define CONFIG_SPW_FT 0
837
#endif
838
 
839
#if defined CONFIG_SPW_GRSPW1
840
#define CONFIG_SPW_GRSPW 1
841
#else
842
#define CONFIG_SPW_GRSPW 2
843
#endif
844
 
845
#if defined CONFIG_PCI_SIMPLE_TARGET
846
#define CFG_PCITYPE 1
847
#elif defined CONFIG_PCI_MASTER_TARGET_DMA
848
#define CFG_PCITYPE 3
849
#elif defined CONFIG_PCI_MASTER_TARGET
850
#define CFG_PCITYPE 2
851
#else
852
#define CFG_PCITYPE 0
853
#endif
854
 
855
#ifndef CONFIG_PCI_VENDORID
856
#define CONFIG_PCI_VENDORID 0
857
#endif
858
 
859
#ifndef CONFIG_PCI_DEVICEID
860
#define CONFIG_PCI_DEVICEID 0
861
#endif
862
 
863
#ifndef CONFIG_PCI_REVID
864
#define CONFIG_PCI_REVID 0
865
#endif
866
 
867
#if defined CONFIG_PCI_FIFO0
868
#define CFG_PCIFIFO 8
869
#define CFG_PCI_ENFIFO 0
870
#elif defined CONFIG_PCI_FIFO16
871
#define CFG_PCIFIFO 16
872
#elif defined CONFIG_PCI_FIFO32
873
#define CFG_PCIFIFO 32
874
#elif defined CONFIG_PCI_FIFO64
875
#define CFG_PCIFIFO 64
876
#elif defined CONFIG_PCI_FIFO128
877
#define CFG_PCIFIFO 128
878
#elif defined CONFIG_PCI_FIFO256
879
#define CFG_PCIFIFO 256
880
#else
881
#define CFG_PCIFIFO 8
882
#endif
883
 
884
#ifndef CFG_PCI_ENFIFO
885
#define CFG_PCI_ENFIFO 1
886
#endif
887
 
888
 
889
#ifndef CONFIG_PCI_ARBITER_APB
890
#define CONFIG_PCI_ARBITER_APB 0
891
#endif
892
 
893
#ifndef CONFIG_PCI_ARBITER
894
#define CONFIG_PCI_ARBITER 0
895
#endif
896
 
897
#ifndef CONFIG_PCI_ARBITER_NREQ
898
#define CONFIG_PCI_ARBITER_NREQ 4
899
#endif
900
 
901
#ifndef CONFIG_PCI_TRACE
902
#define CONFIG_PCI_TRACE 0
903
#endif
904
 
905
#if defined CONFIG_PCI_TRACE512
906
#define CFG_PCI_TRACEBUF 512
907
#elif defined CONFIG_PCI_TRACE1024
908
#define CFG_PCI_TRACEBUF 1024
909
#elif defined CONFIG_PCI_TRACE2048
910
#define CFG_PCI_TRACEBUF 2048
911
#elif defined CONFIG_PCI_TRACE4096
912
#define CFG_PCI_TRACEBUF 4096
913
#else
914
#define CFG_PCI_TRACEBUF 256
915
#endif
916
 
917
 
918
#ifndef CONFIG_UART1_ENABLE
919
#define CONFIG_UART1_ENABLE 0
920
#endif
921
 
922
#if defined CONFIG_UA1_FIFO1
923
#define CFG_UA1_FIFO 1
924
#elif defined CONFIG_UA1_FIFO2
925
#define CFG_UA1_FIFO 2
926
#elif defined CONFIG_UA1_FIFO4
927
#define CFG_UA1_FIFO 4
928
#elif defined CONFIG_UA1_FIFO8
929
#define CFG_UA1_FIFO 8
930
#elif defined CONFIG_UA1_FIFO16
931
#define CFG_UA1_FIFO 16
932
#elif defined CONFIG_UA1_FIFO32
933
#define CFG_UA1_FIFO 32
934
#else
935
#define CFG_UA1_FIFO 1
936
#endif
937
 
938
#ifndef CONFIG_UART2_ENABLE
939
#define CONFIG_UART2_ENABLE 0
940
#endif
941
 
942
#if defined CONFIG_UA2_FIFO1
943
#define CFG_UA2_FIFO 1
944
#elif defined CONFIG_UA2_FIFO2
945
#define CFG_UA2_FIFO 2
946
#elif defined CONFIG_UA2_FIFO4
947
#define CFG_UA2_FIFO 4
948
#elif defined CONFIG_UA2_FIFO8
949
#define CFG_UA2_FIFO 8
950
#elif defined CONFIG_UA2_FIFO16
951
#define CFG_UA2_FIFO 16
952
#elif defined CONFIG_UA2_FIFO32
953
#define CFG_UA2_FIFO 32
954
#else
955
#define CFG_UA2_FIFO 1
956
#endif
957
 
958
#ifndef CONFIG_IRQ3_ENABLE
959
#define CONFIG_IRQ3_ENABLE 0
960
#endif
961
#ifndef CONFIG_IRQ3_NSEC
962
#define CONFIG_IRQ3_NSEC 0
963
#endif
964
#ifndef CONFIG_GPT_ENABLE
965
#define CONFIG_GPT_ENABLE 0
966
#endif
967
 
968
#ifndef CONFIG_GPT_NTIM
969
#define CONFIG_GPT_NTIM 1
970
#endif
971
 
972
#ifndef CONFIG_GPT_SW
973
#define CONFIG_GPT_SW 8
974
#endif
975
 
976
#ifndef CONFIG_GPT_TW
977
#define CONFIG_GPT_TW 8
978
#endif
979
 
980
#ifndef CONFIG_GPT_IRQ
981
#define CONFIG_GPT_IRQ 8
982
#endif
983
 
984
#ifndef CONFIG_GPT_SEPIRQ
985
#define CONFIG_GPT_SEPIRQ 0
986
#endif
987
#ifndef CONFIG_GPT_ENABLE
988
#define CONFIG_GPT_ENABLE 0
989
#endif
990
 
991
#ifndef CONFIG_GPT_NTIM
992
#define CONFIG_GPT_NTIM 1
993
#endif
994
 
995
#ifndef CONFIG_GPT_SW
996
#define CONFIG_GPT_SW 8
997
#endif
998
 
999
#ifndef CONFIG_GPT_TW
1000
#define CONFIG_GPT_TW 8
1001
#endif
1002
 
1003
#ifndef CONFIG_GPT_IRQ
1004
#define CONFIG_GPT_IRQ 8
1005
#endif
1006
 
1007
#ifndef CONFIG_GPT_SEPIRQ
1008
#define CONFIG_GPT_SEPIRQ 0
1009
#endif
1010
 
1011
#ifndef CONFIG_GPT_WDOGEN
1012
#define CONFIG_GPT_WDOGEN 0
1013
#endif
1014
 
1015
#ifndef CONFIG_GPT_WDOG
1016
#define CONFIG_GPT_WDOG 0
1017
#endif
1018
 
1019
#ifndef CONFIG_GRGPIO_ENABLE
1020
#define CONFIG_GRGPIO_ENABLE 0
1021
#endif
1022
#ifndef CONFIG_GRGPIO_IMASK
1023
#define CONFIG_GRGPIO_IMASK 0000
1024
#endif
1025
#ifndef CONFIG_GRGPIO_WIDTH
1026
#define CONFIG_GRGPIO_WIDTH 1
1027
#endif
1028
 
1029
 
1030
#ifndef CONFIG_DEBUG_UART
1031
#define CONFIG_DEBUG_UART 0
1032
#endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.