OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-pci-xc2v3000/] [leon3mp.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
-----------------------------------------------------------------------------
2
--  LEON3 Demonstration design
3
--  Copyright (C) 2004 Jiri Gaisler, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
18
------------------------------------------------------------------------------
19
 
20
 
21
library ieee;
22
use ieee.std_logic_1164.all;
23
library grlib;
24
use grlib.amba.all;
25
use grlib.stdlib.all;
26
library techmap;
27
use techmap.gencomp.all;
28
library gaisler;
29
use gaisler.memctrl.all;
30
use gaisler.leon3.all;
31
use gaisler.uart.all;
32
use gaisler.misc.all;
33
use gaisler.pci.all;
34
use gaisler.net.all;
35
use gaisler.jtag.all;
36
use gaisler.spacewire.all;
37
library esa;
38
use esa.memoryctrl.all;
39
use esa.pcicomp.all;
40
use work.config.all;
41
 
42
entity leon3mp is
43
  generic (
44
    fabtech   : integer := CFG_FABTECH;
45
    memtech   : integer := CFG_MEMTECH;
46
    padtech   : integer := CFG_PADTECH;
47
    clktech   : integer := CFG_CLKTECH;
48
    disas     : integer := CFG_DISAS;   -- Enable disassembly to console
49
    dbguart   : integer := CFG_DUART;   -- Print UART on console
50
    pclow     : integer := CFG_PCLOW
51
  );
52
  port (
53
    resetn      : in  std_logic;
54
    clk         : in  std_logic;
55
    pllref      : in  std_logic;
56
    errorn      : out std_logic;
57
    address     : out std_logic_vector(27 downto 0);
58
    data        : inout std_logic_vector(31 downto 0);
59
    sdclk       : out std_logic;
60
    sdcke       : out std_logic_vector (1 downto 0);    -- sdram clock enable
61
    sdcsn       : out std_logic_vector (1 downto 0);    -- sdram chip select
62
    sdwen       : out std_logic;                       -- sdram write enable
63
    sdrasn      : out std_logic;                       -- sdram ras
64
    sdcasn      : out std_logic;                       -- sdram cas
65
    sddqm       : out std_logic_vector (3 downto 0);    -- sdram dqm
66
    dsutx       : out std_logic;                        -- DSU tx data
67
    dsurx       : in  std_logic;                        -- DSU rx data
68
    dsuen       : in std_logic;
69
    dsubre      : in std_logic;
70
    dsuact      : out std_logic;
71
    txd1        : out std_logic;                        -- UART1 tx data
72
    rxd1        : in  std_logic;                        -- UART1 rx data
73
    txd2        : out std_logic;                        -- UART2 tx data
74
    rxd2        : in  std_logic;                        -- UART2 rx data
75
    ramsn       : out std_logic_vector (4 downto 0);
76
    ramoen      : out std_logic_vector (4 downto 0);
77
    rwen        : out std_logic_vector (3 downto 0);
78
    oen         : out std_logic;
79
    writen      : out std_logic;
80
    read        : out std_logic;
81
    iosn        : out std_logic;
82
    romsn       : out std_logic_vector (1 downto 0);
83
    gpio        : inout std_logic_vector(7 downto 0);    -- I/O port
84
 
85
    emdio       : inout std_logic;              -- ethernet PHY interface
86
    etx_clk     : in std_logic;
87
    erx_clk     : in std_logic;
88
    erxd        : in std_logic_vector(3 downto 0);
89
    erx_dv      : in std_logic;
90
    erx_er      : in std_logic;
91
    erx_col     : in std_logic;
92
    erx_crs     : in std_logic;
93
    etxd        : out std_logic_vector(3 downto 0);
94
    etx_en      : out std_logic;
95
    etx_er      : out std_logic;
96
    emdc        : out std_logic;
97
 
98
    pci_rst     : inout std_logic;              -- PCI bus
99
    pci_clk     : in std_logic;
100
    pci_gnt     : in std_logic;
101
    pci_idsel   : in std_logic;
102
    pci_lock    : inout std_logic;
103
    pci_ad      : inout std_logic_vector(31 downto 0);
104
    pci_cbe     : inout std_logic_vector(3 downto 0);
105
    pci_frame   : inout std_logic;
106
    pci_irdy    : inout std_logic;
107
    pci_trdy    : inout std_logic;
108
    pci_devsel  : inout std_logic;
109
    pci_stop    : inout std_logic;
110
    pci_perr    : inout std_logic;
111
    pci_par     : inout std_logic;
112
    pci_req     : inout std_logic;
113
    pci_serr    : inout std_logic;
114
    pci_host    : in std_logic;
115
    pci_66      : in std_logic;
116
    pci_arb_req : in  std_logic_vector(0 to 3);
117
    pci_arb_gnt : out std_logic_vector(0 to 3);
118
 
119
    spw_rxd     : in  std_logic_vector(0 to 1);
120
    spw_rxs     : in  std_logic_vector(0 to 1);
121
    spw_txd     : out std_logic_vector(0 to 1);
122
    spw_txs     : out std_logic_vector(0 to 1)
123
 
124
        );
125
end;
126
 
127
architecture rtl of leon3mp is
128
 
129
constant blength : integer := 12;
130
constant fifodepth : integer := 8;
131
 
132
constant maxahbmsp : integer := CFG_NCPU+CFG_AHB_UART+
133
        CFG_GRETH+CFG_AHB_JTAG+log2x(CFG_PCI);
134
constant maxahbm : integer := (CFG_SPW_NUM*CFG_SPW_EN) + maxahbmsp;
135
 
136
signal vcc, gnd : std_logic_vector(4 downto 0);
137
signal memi  : memory_in_type;
138
signal memo  : memory_out_type;
139
signal wpo   : wprot_out_type;
140
signal sdi   : sdctrl_in_type;
141
signal sdo   : sdram_out_type;
142
signal sdo2, sdo3 : sdctrl_out_type;
143
 
144
signal apbi  : apb_slv_in_type;
145
signal apbo  : apb_slv_out_vector := (others => apb_none);
146
signal ahbsi : ahb_slv_in_type;
147
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
148
signal ahbmi : ahb_mst_in_type;
149
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
150
 
151
signal clkm, rstn, rstraw, pciclk, sdclkl : std_logic;
152
signal cgi   : clkgen_in_type;
153
signal cgo   : clkgen_out_type;
154
signal u1i, u2i, dui : uart_in_type;
155
signal u1o, u2o, duo : uart_out_type;
156
 
157
signal irqi : irq_in_vector(0 to CFG_NCPU-1);
158
signal irqo : irq_out_vector(0 to CFG_NCPU-1);
159
 
160
signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
161
signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
162
 
163
signal dsui : dsu_in_type;
164
signal dsuo : dsu_out_type;
165
 
166
signal pcii : pci_in_type;
167
signal pcio : pci_out_type;
168
 
169
signal ethi, ethi1, ethi2 : eth_in_type;
170
signal etho, etho1, etho2 : eth_out_type;
171
 
172
signal gpti : gptimer_in_type;
173
 
174
signal gpioi : gpio_in_type;
175
signal gpioo : gpio_out_type;
176
 
177
signal lclk, pci_lclk : std_logic;
178
signal pci_arb_req_n, pci_arb_gnt_n   : std_logic_vector(0 to 3);
179
 
180
signal tck, tms, tdi, tdo : std_logic;
181
signal resetnl, clk2x, spw_clkl   : std_logic;
182
 
183
signal spwi : grspw_in_type_vector(0 to 2);
184
signal spwo : grspw_out_type_vector(0 to 2);
185
 
186
constant IOAEN : integer := 0;
187
 
188
constant sysfreq : integer := (CFG_CLKMUL*40000/CFG_CLKDIV);
189
begin
190
 
191
----------------------------------------------------------------------
192
---  Reset and Clock generation  -------------------------------------
193
----------------------------------------------------------------------
194
 
195
  vcc <= (others => '1'); gnd <= (others => '0');
196
  cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
197
 
198
  pllref_pad : clkpad generic map (tech => padtech) port map (pllref, cgi.pllref);
199
  clk_pad : clkpad generic map (tech => padtech) port map (clk, lclk);
200
  pci_clk_pad : clkpad generic map (tech => padtech, level => pci33)
201
            port map (pci_clk, pci_lclk);
202
  clkgen0 : clkgen              -- clock generator
203
    generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_MCTRL_SDEN,
204
        CFG_CLK_NOFB, CFG_PCI, CFG_PCIDLL, CFG_PCISYSCLK, sysfreq)
205
    port map (lclk, pci_lclk, clkm, open, clk2x, sdclkl, pciclk, cgi, cgo);
206
  sdclk_pad : outpad generic map (tech => padtech)
207
        port map (sdclk, sdclkl);
208
 
209
  resetn_pad : inpad generic map (tech => padtech) port map (resetn, resetnl);
210
  rst0 : rstgen                 -- reset generator
211
  port map (resetnl, clkm, cgo.clklock, rstn, rstraw);
212
 
213
----------------------------------------------------------------------
214
---  AHB CONTROLLER --------------------------------------------------
215
----------------------------------------------------------------------
216
 
217
  ahb0 : ahbctrl                -- AHB arbiter/multiplexer
218
  generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
219
        rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO,
220
        ioen => IOAEN, nahbm => maxahbm, nahbs => 8)
221
  port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
222
 
223
----------------------------------------------------------------------
224
---  LEON3 processor and DSU -----------------------------------------
225
----------------------------------------------------------------------
226
 
227
  l3 : if CFG_LEON3 = 1 generate
228
    cpu : for i in 0 to CFG_NCPU-1 generate
229
      u0 : leon3s                       -- LEON3 processor      
230
      generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
231
        0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
232
        CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
233
        CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
234
          CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
235
          CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1)
236
      port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
237
                irqi(i), irqo(i), dbgi(i), dbgo(i));
238
    end generate;
239
    errorn_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error);
240
 
241
    dsugen : if CFG_DSU = 1 generate
242
      dsu0 : dsu3                       -- LEON3 Debug Support Unit
243
      generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
244
         ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
245
      port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
246
      dsuen_pad : inpad generic map (tech => padtech) port map (dsuen, dsui.enable);
247
      dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break);
248
      dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active);
249
    end generate;
250
  end generate;
251
 
252
  nodsu : if CFG_DSU = 0 generate
253
    ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0';
254
  end generate;
255
 
256
  dcomgen : if CFG_AHB_UART = 1 generate
257
    dcom0: ahbuart              -- Debug UART
258
    generic map (hindex => CFG_NCPU, pindex => 7, paddr => 7)
259
    port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(CFG_NCPU));
260
    dsurx_pad : inpad generic map (tech => padtech) port map (dsurx, dui.rxd);
261
    dsutx_pad : outpad generic map (tech => padtech) port map (dsutx, duo.txd);
262
  end generate;
263
  nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate;
264
 
265
  ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
266
    ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART)
267
      port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART),
268
               open, open, open, open, open, open, open, gnd(0));
269
  end generate;
270
 
271
----------------------------------------------------------------------
272
---  Memory controllers ----------------------------------------------
273
----------------------------------------------------------------------
274
 
275
  mctrl2 : if CFG_MCTRL_LEON2 = 1 generate      -- LEON2 memory controller
276
    sr1 : mctrl generic map (hindex => 0, pindex => 0, paddr => 0,
277
        srbanks => 2, sden => CFG_MCTRL_SDEN, ram8 => CFG_MCTRL_RAM8BIT,
278
        ram16 => CFG_MCTRL_RAM16BIT, invclk => CFG_MCTRL_INVCLK)
279
    port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo);
280
    sdpads : if CFG_MCTRL_SDEN = 1 generate     -- SDRAM controller
281
      sdwen_pad : outpad generic map (tech => padtech)
282
           port map (sdwen, sdo.sdwen);
283
      sdras_pad : outpad generic map (tech => padtech)
284
           port map (sdrasn, sdo.rasn);
285
      sdcas_pad : outpad generic map (tech => padtech)
286
           port map (sdcasn, sdo.casn);
287
      sddqm_pad : outpadv generic map (width =>4, tech => padtech)
288
           port map (sddqm, sdo.dqm(3 downto 0));
289
      sdcke_pad : outpadv generic map (width =>2, tech => padtech)
290
           port map (sdcke, sdo.sdcke);
291
      sdcsn_pad : outpadv generic map (width =>2, tech => padtech)
292
           port map (sdcsn, sdo.sdcsn);
293
    end generate;
294
 
295
    addr_pad : outpadv generic map (width => 28, tech => padtech)
296
        port map (address, memo.address(27 downto 0));
297
    rams_pad : outpadv generic map (width => 5, tech => padtech)
298
        port map (ramsn, memo.ramsn(4 downto 0));
299
    roms_pad : outpadv generic map (width => 2, tech => padtech)
300
        port map (romsn, memo.romsn(1 downto 0));
301
    oen_pad  : outpad generic map (tech => padtech)
302
        port map (oen, memo.oen);
303
    rwen_pad : outpadv generic map (width => 4, tech => padtech)
304
        port map (rwen, memo.wrn);
305
    roen_pad : outpadv generic map (width => 5, tech => padtech)
306
        port map (ramoen, memo.ramoen(4 downto 0));
307
    wri_pad  : outpad generic map (tech => padtech)
308
        port map (writen, memo.writen);
309
    read_pad : outpad generic map (tech => padtech)
310
        port map (read, memo.read);
311
    iosn_pad : outpad generic map (tech => padtech)
312
        port map (iosn, memo.iosn);
313
    bdr : for i in 0 to 3 generate
314
      data_pad : iopadv generic map (tech => padtech, width => 8)
315
      port map (data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
316
        memo.bdrive(i), memi.data(31-i*8 downto 24-i*8));
317
    end generate;
318
 
319
  end generate;
320
 
321
  nosd0 : if (CFG_MCTRL_SDEN = 0) generate               -- no SDRAM controller
322
      sdcke_pad : outpadv generic map (width =>2, tech => padtech)
323
           port map (sdcke, sdo3.sdcke);
324
      sdcsn_pad : outpadv generic map (width =>2, tech => padtech)
325
           port map (sdcsn, sdo3.sdcsn);
326
  end generate;
327
 
328
 
329
  memi.brdyn <= '1'; memi.bexcn <= '1';
330
  memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "10";
331
 
332
----------------------------------------------------------------------
333
---  APB Bridge and various periherals -------------------------------
334
----------------------------------------------------------------------
335
 
336
  bpromgen : if CFG_AHBROMEN /= 0 generate
337
    brom : entity work.ahbrom
338
      generic map (hindex => 8, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
339
      port map ( rstn, clkm, ahbsi, ahbso(8));
340
  end generate;
341
  nobpromgen : if CFG_AHBROMEN = 0 generate
342
     ahbso(8) <= ahbs_none;
343
  end generate;
344
 
345
----------------------------------------------------------------------
346
---  APB Bridge and various periherals -------------------------------
347
----------------------------------------------------------------------
348
 
349
  apb0 : apbctrl                                -- AHB/APB bridge
350
  generic map (hindex => 1, haddr => CFG_APBADDR)
351
  port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo );
352
 
353
  ua1 : if CFG_UART1_ENABLE /= 0 generate
354
    uart1 : apbuart                     -- UART 1
355
    generic map (pindex => 1, paddr => 1,  pirq => 2, console => dbguart,
356
        fifosize => CFG_UART1_FIFO)
357
    port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
358
    u1i.rxd <= rxd1; u1i.ctsn <= '0'; u1i.extclk <= '0'; txd1 <= u1o.txd;
359
  end generate;
360
  noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
361
 
362
  ua2 : if CFG_UART2_ENABLE /= 0 generate
363
    uart2 : apbuart                     -- UART 2
364
    generic map (pindex => 9, paddr => 9,  pirq => 3, fifosize => CFG_UART2_FIFO)
365
    port map (rstn, clkm, apbi, apbo(9), u2i, u2o);
366
    u2i.rxd <= rxd2; u2i.ctsn <= '0'; u2i.extclk <= '0'; txd2 <= u2o.txd;
367
  end generate;
368
  noua1 : if CFG_UART2_ENABLE = 0 generate apbo(9) <= apb_none; end generate;
369
 
370
  irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
371
    irqctrl0 : irqmp                    -- interrupt controller
372
    generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU)
373
    port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
374
  end generate;
375
  irq3 : if CFG_IRQ3_ENABLE = 0 generate
376
    x : for i in 0 to CFG_NCPU-1 generate
377
      irqi(i).irl <= "0000";
378
    end generate;
379
    apbo(2) <= apb_none;
380
  end generate;
381
 
382
  gpt : if CFG_GPT_ENABLE /= 0 generate
383
    timer0 : gptimer                    -- timer unit
384
    generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
385
        sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
386
        nbits => CFG_GPT_TW)
387
    port map (rstn, clkm, apbi, apbo(3), gpti, open);
388
    gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
389
  end generate;
390
  notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
391
 
392
  gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate     -- GR GPIO unit
393
    grgpio0: grgpio
394
      generic map( pindex => 11, paddr => 11, imask => CFG_GRGPIO_IMASK, nbits => 8)
395
      port map( rstn, clkm, apbi, apbo(11), gpioi, gpioo);
396
 
397
      pio_pads : for i in 0 to 7 generate
398
        pio_pad : iopad generic map (tech => padtech)
399
            port map (gpio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i));
400
      end generate;
401
   end generate;
402
 
403
-----------------------------------------------------------------------
404
---  PCI   ------------------------------------------------------------
405
-----------------------------------------------------------------------
406
 
407
  pp : if CFG_PCI /= 0 generate
408
 
409
    pci_gr0 : if CFG_PCI = 1 generate   -- simple target-only
410
      pci0 : pci_target generic map (hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
411
        device_id => CFG_PCIDID, vendor_id => CFG_PCIVID, nsync => 2)
412
      port map (rstn, clkm, pciclk, pcii, pcio, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG));
413
    end generate;
414
 
415
    pci_mtf0 : if CFG_PCI = 2 generate  -- master/target with fifo
416
      pci0 : pci_mtf generic map (memtech => memtech, hmstndx => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
417
          fifodepth => log2(CFG_PCIDEPTH), device_id => CFG_PCIDID, vendor_id => CFG_PCIVID,
418
          hslvndx => 4, pindex => 4, paddr => 4, haddr => 16#E00#, irq => 4,
419
          ioaddr => 16#400#, nsync => 2)
420
      port map (rstn, clkm, pciclk, pcii, pcio, apbi, apbo(4),
421
        ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), ahbsi, ahbso(4));
422
    end generate;
423
 
424
    pci_mtf1 : if CFG_PCI = 3 generate  -- master/target with fifo and DMA
425
      dma : pcidma generic map (memtech => memtech, dmstndx => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1,
426
          dapbndx => 5, dapbaddr => 5, blength => blength, mstndx => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
427
          fifodepth => log2(fifodepth), device_id => CFG_PCIDID, vendor_id => CFG_PCIVID,
428
          slvndx => 4, apbndx => 4, apbaddr => 4, haddr => 16#E00#, ioaddr => 16#800#,
429
          nsync => 2, irq => 4)
430
        port map (rstn, clkm, pciclk, pcii, pcio, apbo(5),  ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1),
431
          apbi, apbo(4), ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), ahbsi, ahbso(4));
432
    end generate;
433
 
434
    pci_trc0 : if CFG_PCITBUFEN /= 0 generate    -- PCI trace buffer
435
      pt0 : pcitrace generic map (depth => (6 + log2(CFG_PCITBUF/256)),
436
        memtech => memtech, pindex  => 8, paddr => 16#100#, pmask => 16#f00#)
437
        port map ( rstn, clkm, pciclk, pcii, apbi, apbo(8));
438
    end generate;
439
 
440
    pcia0 : if CFG_PCI_ARB = 1 generate -- PCI arbiter
441
      pciarb0 : pciarb generic map (pindex => 10, paddr => 10,
442
                                    apb_en => CFG_PCI_ARBAPB)
443
       port map ( clk => pciclk, rst_n => pcii.rst,
444
         req_n => pci_arb_req_n, frame_n => pcii.frame,
445
         gnt_n => pci_arb_gnt_n, pclk => clkm,
446
         prst_n => rstn, apbi => apbi, apbo => apbo(10)
447
       );
448
      pgnt_pad : outpadv generic map (tech => padtech, width => 4)
449
        port map (pci_arb_gnt, pci_arb_gnt_n);
450
      preq_pad : inpadv generic map (tech => padtech, width => 4)
451
        port map (pci_arb_req, pci_arb_req_n);
452
    end generate;
453
 
454
    pcipads0 : pcipads generic map (padtech => padtech, host => 0)-- PCI pads
455
    port map ( pci_rst, pci_gnt, pci_idsel, pci_lock, pci_ad, pci_cbe,
456
      pci_frame, pci_irdy, pci_trdy, pci_devsel, pci_stop, pci_perr,
457
      pci_par, pci_req, pci_serr, pci_host, pci_66, pcii, pcio );
458
 
459
  end generate;
460
 
461
  nop1 : if CFG_PCI <= 1 generate apbo(4) <= apb_none; end generate;
462
  nop2 : if CFG_PCI <= 2 generate apbo(5) <= apb_none; end generate;
463
  nop3 : if CFG_PCI <= 1 generate ahbso(4) <= ahbs_none; end generate;
464
  notrc : if CFG_PCITBUFEN = 0 generate apbo(8) <= apb_none; end generate;
465
  noarb : if CFG_PCI_ARB = 0 generate apbo(10) <= apb_none; end generate;
466
 
467
 
468
-----------------------------------------------------------------------
469
---  ETHERNET ---------------------------------------------------------
470
-----------------------------------------------------------------------
471
 
472
  eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
473
      e1 : greth generic map(hindex => CFG_NCPU+CFG_AHB_UART+CFG_PCI+CFG_AHB_JTAG,
474
        pindex => 15, paddr => 15, pirq => 12, memtech => memtech,
475
        mdcscaler => sysfreq/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
476
        nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
477
        macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL,
478
        ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL)
479
     port map( rst => rstn, clk => clkm, ahbmi => ahbmi,
480
       ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_PCI+CFG_AHB_JTAG), apbi => apbi,
481
       apbo => apbo(15), ethi => ethi, etho => etho);
482
 
483
      emdio_pad : iopad generic map (tech => padtech)
484
      port map (emdio, etho.mdio_o, etho.mdio_oe, ethi.mdio_i);
485
      etxc_pad : clkpad generic map (tech => padtech, arch => 1)
486
        port map (etx_clk, ethi.tx_clk);
487
      erxc_pad : clkpad generic map (tech => padtech, arch => 1)
488
        port map (erx_clk, ethi.rx_clk);
489
      erxd_pad : inpadv generic map (tech => padtech, width => 4)
490
        port map (erxd, ethi.rxd(3 downto 0));
491
      erxdv_pad : inpad generic map (tech => padtech)
492
        port map (erx_dv, ethi.rx_dv);
493
      erxer_pad : inpad generic map (tech => padtech)
494
        port map (erx_er, ethi.rx_er);
495
      erxco_pad : inpad generic map (tech => padtech)
496
        port map (erx_col, ethi.rx_col);
497
      erxcr_pad : inpad generic map (tech => padtech)
498
        port map (erx_crs, ethi.rx_crs);
499
 
500
      etxd_pad : outpadv generic map (tech => padtech, width => 4)
501
        port map (etxd, etho.txd(3 downto 0));
502
      etxen_pad : outpad generic map (tech => padtech)
503
        port map ( etx_en, etho.tx_en);
504
      etxer_pad : outpad generic map (tech => padtech)
505
        port map (etx_er, etho.tx_er);
506
      emdc_pad : outpad generic map (tech => padtech)
507
        port map (emdc, etho.mdc);
508
 
509
  end generate;
510
 
511
-----------------------------------------------------------------------
512
---  AHB RAM ----------------------------------------------------------
513
-----------------------------------------------------------------------
514
 
515
  ocram : if CFG_AHBRAMEN = 1 generate
516
    ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR,
517
        tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ)
518
    port map ( rstn, clkm, ahbsi, ahbso(7));
519
  end generate;
520
  nram : if CFG_AHBRAMEN = 0 generate ahbso(7) <= ahbs_none; end generate;
521
 
522
-----------------------------------------------------------------------
523
---  SPACEWIRE  -------------------------------------------------------
524
-----------------------------------------------------------------------
525
 
526
  spw_clkl <= clk2x;
527
  spw : if CFG_SPW_EN > 0 generate
528
   swloop : for i in 0 to CFG_SPW_NUM-1 generate
529
   sw0 : grspwm generic map(tech => memtech,
530
     hindex => maxahbmsp+i, pindex => 12+i, paddr => 12+i, pirq => 10+i,
531
     sysfreq => sysfreq, nsync => 1, rmap => CFG_SPW_RMAP,
532
     fifosize1 => CFG_SPW_AHBFIFO, fifosize2 => CFG_SPW_RXFIFO,
533
     rxclkbuftype => 1,  rmapbufs => CFG_SPW_RMAPBUF, ft => CFG_SPW_FT,
534
     netlist => CFG_SPW_NETLIST, ports => 1, dmachan => 1, spwcore => CFG_SPW_GRSPW)
535
     port map(rstn, clkm, spw_clkl, ahbmi, ahbmo(maxahbmsp+i),
536
        apbi, apbo(12+i), spwi(i), spwo(i));
537
     spwi(i).tickin <= '0'; spwi(i).rmapen <= '1';
538
     spwi(i).clkdiv10 <= conv_std_logic_vector(2*sysfreq/10000-1, 8);
539
     spw_rxd_pad : inpad generic map (padtech)
540
        port map (spw_rxd(i), spwi(i).d(0));
541
     spw_rxs_pad : inpad generic map (padtech)
542
        port map (spw_rxs(i), spwi(i).s(0));
543
     spw_txd_pad : outpad generic map (padtech)
544
        port map (spw_txd(i), spwo(i).d(0));
545
     spw_txs_pad : outpad generic map (padtech)
546
        port map (spw_txs(i), spwo(i).s(0));
547
   end generate;
548
  end generate;
549
 
550
-----------------------------------------------------------------------
551
---  Drive unused bus elements  ---------------------------------------
552
-----------------------------------------------------------------------
553
 
554
--  nam1 : for i in maxahbm to NAHBMST-1 generate
555
--    ahbmo(i) <= ahbm_none;
556
--  end generate;
557
--  nam2 : if CFG_PCI > 1 generate
558
--    ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_PCI-1) <= ahbm_none;
559
--  end generate;
560
--  nap0 : for i in 12+(CFG_SPW_NUM*CFG_SPW_EN) to NAPBSLV-1-CFG_GRETH generate apbo(i) <= apb_none; end generate;
561
--  apbo(6) <= apb_none;
562
--  nah0 : for i in 9 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate;
563
 
564
-----------------------------------------------------------------------
565
---  Boot message  ----------------------------------------------------
566
-----------------------------------------------------------------------
567
 
568
-- pragma translate_off
569
  x : report_version
570
  generic map (
571
   msg1 => "LEON3 GR-PCI-XC2V3000 Demonstration design",
572
   msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/1000) & "." & tost((LIBVHDL_VERSION mod 1000)/100)
573
        & "." & tost(LIBVHDL_VERSION mod 100) & ", build " & tost(LIBVHDL_BUILD),
574
   msg3 => "Target technology: " & tech_table(fabtech) & ",  memory library: " & tech_table(memtech),
575
   mdel => 1
576
  );
577
-- pragma translate_on
578
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.