OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-pci-xc5v/] [tkconfig.h] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
#if defined CONFIG_SYN_INFERRED
2
#define CONFIG_SYN_TECH inferred
3
#elif defined CONFIG_SYN_UMC
4
#define CONFIG_SYN_TECH umc
5
#elif defined CONFIG_SYN_RHUMC
6
#define CONFIG_SYN_TECH rhumc
7
#elif defined CONFIG_SYN_ATC18
8
#define CONFIG_SYN_TECH atc18s
9
#elif defined CONFIG_SYN_ATC18RHA
10
#define CONFIG_SYN_TECH atc18rha
11
#elif defined CONFIG_SYN_AXCEL
12
#define CONFIG_SYN_TECH axcel
13
#elif defined CONFIG_SYN_PROASICPLUS
14
#define CONFIG_SYN_TECH proasic
15
#elif defined CONFIG_SYN_ALTERA
16
#define CONFIG_SYN_TECH altera
17
#elif defined CONFIG_SYN_STRATIX
18
#define CONFIG_SYN_TECH stratix1
19
#elif defined CONFIG_SYN_STRATIXII
20
#define CONFIG_SYN_TECH stratix2
21
#elif defined CONFIG_SYN_STRATIXIII
22
#define CONFIG_SYN_TECH stratix3
23
#elif defined CONFIG_SYN_CYCLONEIII
24
#define CONFIG_SYN_TECH cyclone3
25
#elif defined CONFIG_SYN_EASIC90
26
#define CONFIG_SYN_TECH easic90
27
#elif defined CONFIG_SYN_IHP25
28
#define CONFIG_SYN_TECH ihp25
29
#elif defined CONFIG_SYN_IHP25RH
30
#define CONFIG_SYN_TECH ihp25rh
31
#elif defined CONFIG_SYN_LATTICE
32
#define CONFIG_SYN_TECH lattice
33
#elif defined CONFIG_SYN_ECLIPSE
34
#define CONFIG_SYN_TECH eclipse
35
#elif defined CONFIG_SYN_PEREGRINE
36
#define CONFIG_SYN_TECH peregrine
37
#elif defined CONFIG_SYN_PROASIC
38
#define CONFIG_SYN_TECH proasic
39
#elif defined CONFIG_SYN_PROASIC3
40
#define CONFIG_SYN_TECH apa3
41
#elif defined CONFIG_SYN_SPARTAN2
42
#define CONFIG_SYN_TECH virtex
43
#elif defined CONFIG_SYN_VIRTEX
44
#define CONFIG_SYN_TECH virtex
45
#elif defined CONFIG_SYN_VIRTEXE
46
#define CONFIG_SYN_TECH virtex
47
#elif defined CONFIG_SYN_SPARTAN3
48
#define CONFIG_SYN_TECH spartan3
49
#elif defined CONFIG_SYN_SPARTAN3E
50
#define CONFIG_SYN_TECH spartan3e
51
#elif defined CONFIG_SYN_VIRTEX2
52
#define CONFIG_SYN_TECH virtex2
53
#elif defined CONFIG_SYN_VIRTEX4
54
#define CONFIG_SYN_TECH virtex4
55
#elif defined CONFIG_SYN_VIRTEX5
56
#define CONFIG_SYN_TECH virtex5
57
#elif defined CONFIG_SYN_RH_LIB18T
58
#define CONFIG_SYN_TECH rhlib18t
59
#elif defined CONFIG_SYN_UT025CRH
60
#define CONFIG_SYN_TECH ut25
61
#elif defined CONFIG_SYN_TSMC90
62
#define CONFIG_SYN_TECH tsmc90
63
#elif defined CONFIG_SYN_CUSTOM1
64
#define CONFIG_SYN_TECH custom1
65
#else
66
#error "unknown target technology"
67
#endif
68
 
69
#if defined CONFIG_SYN_INFER_RAM
70
#define CFG_RAM_TECH inferred
71
#elif defined CONFIG_MEM_UMC
72
#define CFG_RAM_TECH umc
73
#elif defined CONFIG_MEM_RHUMC
74
#define CFG_RAM_TECH rhumc
75
#elif defined CONFIG_MEM_VIRAGE
76
#define CFG_RAM_TECH memvirage
77
#elif defined CONFIG_MEM_ARTISAN
78
#define CFG_RAM_TECH memartisan
79
#elif defined CONFIG_MEM_CUSTOM1
80
#define CFG_RAM_TECH custom1
81
#elif defined CONFIG_MEM_VIRAGE90
82
#define CFG_RAM_TECH memvirage90
83
#elif defined CONFIG_MEM_INFERRED
84
#define CFG_RAM_TECH inferred
85
#else
86
#define CFG_RAM_TECH CONFIG_SYN_TECH
87
#endif
88
 
89
#if defined CONFIG_SYN_INFER_PADS
90
#define CFG_PAD_TECH inferred
91
#else
92
#define CFG_PAD_TECH CONFIG_SYN_TECH
93
#endif
94
 
95
#ifndef CONFIG_SYN_NO_ASYNC
96
#define CONFIG_SYN_NO_ASYNC 0
97
#endif
98
 
99
#ifndef CONFIG_SYN_SCAN
100
#define CONFIG_SYN_SCAN 0
101
#endif
102
 
103
 
104
#if defined CONFIG_CLK_ALTDLL
105
#define CFG_CLK_TECH CONFIG_SYN_TECH
106
#elif defined CONFIG_CLK_HCLKBUF
107
#define CFG_CLK_TECH axcel
108
#elif defined CONFIG_CLK_LATDLL
109
#define CFG_CLK_TECH lattice
110
#elif defined CONFIG_CLK_PRO3PLL
111
#define CFG_CLK_TECH apa3
112
#elif defined CONFIG_CLK_CLKDLL
113
#define CFG_CLK_TECH virtex
114
#elif defined CONFIG_CLK_DCM
115
#define CFG_CLK_TECH CONFIG_SYN_TECH
116
#elif defined CONFIG_CLK_LIB18T
117
#define CFG_CLK_TECH rhlib18t
118
#elif defined CONFIG_CLK_RHUMC
119
#define CFG_CLK_TECH rhumc
120
#else
121
#define CFG_CLK_TECH inferred
122
#endif
123
 
124
#ifndef CONFIG_CLK_MUL
125
#define CONFIG_CLK_MUL 2
126
#endif
127
 
128
#ifndef CONFIG_CLK_DIV
129
#define CONFIG_CLK_DIV 2
130
#endif
131
 
132
#ifndef CONFIG_OCLK_DIV
133
#define CONFIG_OCLK_DIV 2
134
#endif
135
 
136
#ifndef CONFIG_PCI_CLKDLL
137
#define CONFIG_PCI_CLKDLL 0
138
#endif
139
 
140
#ifndef CONFIG_PCI_SYSCLK
141
#define CONFIG_PCI_SYSCLK 0
142
#endif
143
 
144
#ifndef CONFIG_CLK_NOFB
145
#define CONFIG_CLK_NOFB 0
146
#endif
147
#ifndef CONFIG_LEON3
148
#define CONFIG_LEON3 0
149
#endif
150
 
151
#ifndef CONFIG_PROC_NUM
152
#define CONFIG_PROC_NUM 1
153
#endif
154
 
155
#ifndef CONFIG_IU_NWINDOWS
156
#define CONFIG_IU_NWINDOWS 8
157
#endif
158
 
159
#ifndef CONFIG_IU_RSTADDR
160
#define CONFIG_IU_RSTADDR 8
161
#endif
162
 
163
#ifndef CONFIG_IU_LDELAY
164
#define CONFIG_IU_LDELAY 1
165
#endif
166
 
167
#ifndef CONFIG_IU_WATCHPOINTS
168
#define CONFIG_IU_WATCHPOINTS 0
169
#endif
170
 
171
#ifdef CONFIG_IU_V8MULDIV
172
#ifdef CONFIG_IU_MUL_LATENCY_4
173
#define CFG_IU_V8 1
174
#elif defined CONFIG_IU_MUL_LATENCY_5
175
#define CFG_IU_V8 2
176
#elif defined CONFIG_IU_MUL_LATENCY_2
177
#define CFG_IU_V8 16#32#
178
#endif
179
#else
180
#define CFG_IU_V8 0
181
#endif
182
 
183
#ifndef CONFIG_PWD
184
#define CONFIG_PWD 0
185
#endif
186
 
187
#ifndef CONFIG_IU_MUL_MAC
188
#define CONFIG_IU_MUL_MAC 0
189
#endif
190
 
191
#ifndef CONFIG_IU_SVT
192
#define CONFIG_IU_SVT 0
193
#endif
194
 
195
#if defined CONFIG_FPU_GRFPC1
196
#define CONFIG_FPU_GRFPC 1
197
#elif defined CONFIG_FPU_GRFPC2
198
#define CONFIG_FPU_GRFPC 2
199
#else
200
#define CONFIG_FPU_GRFPC 0
201
#endif
202
 
203
#if defined CONFIG_FPU_GRFPU_INFMUL
204
#define CONFIG_FPU_GRFPU_MUL 0
205
#elif defined CONFIG_FPU_GRFPU_DWMUL
206
#define CONFIG_FPU_GRFPU_MUL 1
207
#elif defined CONFIG_FPU_GRFPU_MODGEN 
208
#define CONFIG_FPU_GRFPU_MUL 2
209
#else
210
#define CONFIG_FPU_GRFPU_MUL 0
211
#endif
212
 
213
#if defined CONFIG_FPU_GRFPU_SH
214
#define CONFIG_FPU_GRFPU_SHARED 1
215
#else
216
#define CONFIG_FPU_GRFPU_SHARED 0
217
#endif
218
 
219
#if defined CONFIG_FPU_GRFPU
220
#define CONFIG_FPU (1+CONFIG_FPU_GRFPU_MUL)
221
#elif defined CONFIG_FPU_MEIKO
222
#define CONFIG_FPU 15
223
#elif defined CONFIG_FPU_GRFPULITE
224
#define CONFIG_FPU (8+CONFIG_FPU_GRFPC)
225
#else
226
#define CONFIG_FPU 0
227
#endif
228
 
229
#ifndef CONFIG_FPU_NETLIST
230
#define CONFIG_FPU_NETLIST 0
231
#endif
232
 
233
#ifndef CONFIG_ICACHE_ENABLE
234
#define CONFIG_ICACHE_ENABLE 0
235
#endif
236
 
237
#if defined CONFIG_ICACHE_ASSO1
238
#define CFG_IU_ISETS 1
239
#elif defined CONFIG_ICACHE_ASSO2
240
#define CFG_IU_ISETS 2
241
#elif defined CONFIG_ICACHE_ASSO3
242
#define CFG_IU_ISETS 3
243
#elif defined CONFIG_ICACHE_ASSO4
244
#define CFG_IU_ISETS 4
245
#else
246
#define CFG_IU_ISETS 1
247
#endif
248
 
249
#if defined CONFIG_ICACHE_SZ1
250
#define CFG_ICACHE_SZ 1
251
#elif defined CONFIG_ICACHE_SZ2
252
#define CFG_ICACHE_SZ 2
253
#elif defined CONFIG_ICACHE_SZ4
254
#define CFG_ICACHE_SZ 4
255
#elif defined CONFIG_ICACHE_SZ8
256
#define CFG_ICACHE_SZ 8
257
#elif defined CONFIG_ICACHE_SZ16
258
#define CFG_ICACHE_SZ 16
259
#elif defined CONFIG_ICACHE_SZ32
260
#define CFG_ICACHE_SZ 32
261
#elif defined CONFIG_ICACHE_SZ64
262
#define CFG_ICACHE_SZ 64
263
#elif defined CONFIG_ICACHE_SZ128
264
#define CFG_ICACHE_SZ 128
265
#elif defined CONFIG_ICACHE_SZ256
266
#define CFG_ICACHE_SZ 256
267
#else
268
#define CFG_ICACHE_SZ 1
269
#endif
270
 
271
#ifdef CONFIG_ICACHE_LZ16
272
#define CFG_ILINE_SZ 4
273
#else
274
#define CFG_ILINE_SZ 8
275
#endif
276
 
277
#if defined CONFIG_ICACHE_ALGORND
278
#define CFG_ICACHE_ALGORND 2
279
#elif defined CONFIG_ICACHE_ALGOLRR
280
#define CFG_ICACHE_ALGORND 1
281
#else
282
#define CFG_ICACHE_ALGORND 0
283
#endif
284
 
285
#ifndef CONFIG_ICACHE_LOCK
286
#define CONFIG_ICACHE_LOCK 0
287
#endif
288
 
289
#ifndef CONFIG_ICACHE_LRAM
290
#define CONFIG_ICACHE_LRAM 0
291
#endif
292
 
293
#ifndef CONFIG_ICACHE_LRSTART
294
#define CONFIG_ICACHE_LRSTART 8E
295
#endif
296
 
297
#if defined CONFIG_ICACHE_LRAM_SZ2
298
#define CFG_ILRAM_SIZE 2
299
#elif defined CONFIG_ICACHE_LRAM_SZ4
300
#define CFG_ILRAM_SIZE 4
301
#elif defined CONFIG_ICACHE_LRAM_SZ8
302
#define CFG_ILRAM_SIZE 8
303
#elif defined CONFIG_ICACHE_LRAM_SZ16
304
#define CFG_ILRAM_SIZE 16
305
#elif defined CONFIG_ICACHE_LRAM_SZ32
306
#define CFG_ILRAM_SIZE 32
307
#elif defined CONFIG_ICACHE_LRAM_SZ64
308
#define CFG_ILRAM_SIZE 64
309
#elif defined CONFIG_ICACHE_LRAM_SZ128
310
#define CFG_ILRAM_SIZE 128
311
#elif defined CONFIG_ICACHE_LRAM_SZ256
312
#define CFG_ILRAM_SIZE 256
313
#else
314
#define CFG_ILRAM_SIZE 1
315
#endif
316
 
317
 
318
#ifndef CONFIG_DCACHE_ENABLE
319
#define CONFIG_DCACHE_ENABLE 0
320
#endif
321
 
322
#if defined CONFIG_DCACHE_ASSO1
323
#define CFG_IU_DSETS 1
324
#elif defined CONFIG_DCACHE_ASSO2
325
#define CFG_IU_DSETS 2
326
#elif defined CONFIG_DCACHE_ASSO3
327
#define CFG_IU_DSETS 3
328
#elif defined CONFIG_DCACHE_ASSO4
329
#define CFG_IU_DSETS 4
330
#else
331
#define CFG_IU_DSETS 1
332
#endif
333
 
334
#if defined CONFIG_DCACHE_SZ1
335
#define CFG_DCACHE_SZ 1
336
#elif defined CONFIG_DCACHE_SZ2
337
#define CFG_DCACHE_SZ 2
338
#elif defined CONFIG_DCACHE_SZ4
339
#define CFG_DCACHE_SZ 4
340
#elif defined CONFIG_DCACHE_SZ8
341
#define CFG_DCACHE_SZ 8
342
#elif defined CONFIG_DCACHE_SZ16
343
#define CFG_DCACHE_SZ 16
344
#elif defined CONFIG_DCACHE_SZ32
345
#define CFG_DCACHE_SZ 32
346
#elif defined CONFIG_DCACHE_SZ64
347
#define CFG_DCACHE_SZ 64
348
#elif defined CONFIG_DCACHE_SZ128
349
#define CFG_DCACHE_SZ 128
350
#elif defined CONFIG_DCACHE_SZ256
351
#define CFG_DCACHE_SZ 256
352
#else
353
#define CFG_DCACHE_SZ 1
354
#endif
355
 
356
#ifdef CONFIG_DCACHE_LZ16
357
#define CFG_DLINE_SZ 4
358
#else
359
#define CFG_DLINE_SZ 8
360
#endif
361
 
362
#if defined CONFIG_DCACHE_ALGORND
363
#define CFG_DCACHE_ALGORND 2
364
#elif defined CONFIG_DCACHE_ALGOLRR
365
#define CFG_DCACHE_ALGORND 1
366
#else
367
#define CFG_DCACHE_ALGORND 0
368
#endif
369
 
370
#ifndef CONFIG_DCACHE_LOCK
371
#define CONFIG_DCACHE_LOCK 0
372
#endif
373
 
374
#ifndef CONFIG_DCACHE_SNOOP
375
#define CONFIG_DCACHE_SNOOP 0
376
#endif
377
 
378
#ifndef CONFIG_DCACHE_SNOOP_FAST
379
#define CONFIG_DCACHE_SNOOP_FAST 0
380
#endif
381
 
382
#ifndef CONFIG_DCACHE_SNOOP_SEPTAG
383
#define CONFIG_DCACHE_SNOOP_SEPTAG 0
384
#endif
385
 
386
#ifndef CONFIG_CACHE_FIXED
387
#define CONFIG_CACHE_FIXED 0
388
#endif
389
 
390
#ifndef CONFIG_DCACHE_LRAM
391
#define CONFIG_DCACHE_LRAM 0
392
#endif
393
 
394
#ifndef CONFIG_DCACHE_LRSTART
395
#define CONFIG_DCACHE_LRSTART 8F
396
#endif
397
 
398
#if defined CONFIG_DCACHE_LRAM_SZ2
399
#define CFG_DLRAM_SIZE 2
400
#elif defined CONFIG_DCACHE_LRAM_SZ4
401
#define CFG_DLRAM_SIZE 4
402
#elif defined CONFIG_DCACHE_LRAM_SZ8
403
#define CFG_DLRAM_SIZE 8
404
#elif defined CONFIG_DCACHE_LRAM_SZ16
405
#define CFG_DLRAM_SIZE 16
406
#elif defined CONFIG_DCACHE_LRAM_SZ32
407
#define CFG_DLRAM_SIZE 32
408
#elif defined CONFIG_DCACHE_LRAM_SZ64
409
#define CFG_DLRAM_SIZE 64
410
#elif defined CONFIG_DCACHE_LRAM_SZ128
411
#define CFG_DLRAM_SIZE 128
412
#elif defined CONFIG_DCACHE_LRAM_SZ256
413
#define CFG_DLRAM_SIZE 256
414
#else
415
#define CFG_DLRAM_SIZE 1
416
#endif
417
 
418
 
419
#ifdef CONFIG_MMU_ENABLE
420
#define CONFIG_MMUEN 1
421
 
422
#ifdef CONFIG_MMU_SPLIT
423
#define CONFIG_TLB_TYPE 0
424
#endif
425
#ifdef CONFIG_MMU_COMBINED
426
#define CONFIG_TLB_TYPE 1
427
#endif
428
 
429
#ifdef CONFIG_MMU_REPARRAY
430
#define CONFIG_TLB_REP 0
431
#endif
432
#ifdef CONFIG_MMU_REPINCREMENT
433
#define CONFIG_TLB_REP 1
434
#endif
435
 
436
#ifdef CONFIG_MMU_I2 
437
#define CONFIG_ITLBNUM 2
438
#endif
439
#ifdef CONFIG_MMU_I4 
440
#define CONFIG_ITLBNUM 4
441
#endif
442
#ifdef CONFIG_MMU_I8 
443
#define CONFIG_ITLBNUM 8
444
#endif
445
#ifdef CONFIG_MMU_I16 
446
#define CONFIG_ITLBNUM 16
447
#endif
448
#ifdef CONFIG_MMU_I32
449
#define CONFIG_ITLBNUM 32
450
#endif
451
 
452
#define CONFIG_DTLBNUM 2
453
#ifdef CONFIG_MMU_D2 
454
#undef CONFIG_DTLBNUM 
455
#define CONFIG_DTLBNUM 2
456
#endif
457
#ifdef CONFIG_MMU_D4 
458
#undef CONFIG_DTLBNUM 
459
#define CONFIG_DTLBNUM 4
460
#endif
461
#ifdef CONFIG_MMU_D8 
462
#undef CONFIG_DTLBNUM 
463
#define CONFIG_DTLBNUM 8
464
#endif
465
#ifdef CONFIG_MMU_D16 
466
#undef CONFIG_DTLBNUM 
467
#define CONFIG_DTLBNUM 16
468
#endif
469
#ifdef CONFIG_MMU_D32
470
#undef CONFIG_DTLBNUM 
471
#define CONFIG_DTLBNUM 32
472
#endif
473
#ifdef CONFIG_MMU_FASTWB
474
#define CFG_MMU_FASTWB 1
475
#else
476
#define CFG_MMU_FASTWB 0
477
#endif
478
 
479
#else
480
#define CONFIG_MMUEN 0
481
#define CONFIG_ITLBNUM 2
482
#define CONFIG_DTLBNUM 2
483
#define CONFIG_TLB_TYPE 1
484
#define CONFIG_TLB_REP 1
485
#define CFG_MMU_FASTWB 0
486
#endif
487
 
488
#ifndef CONFIG_DSU_ENABLE
489
#define CONFIG_DSU_ENABLE 0
490
#endif
491
 
492
#if defined CONFIG_DSU_ITRACESZ1
493
#define CFG_DSU_ITB 1
494
#elif CONFIG_DSU_ITRACESZ2
495
#define CFG_DSU_ITB 2
496
#elif CONFIG_DSU_ITRACESZ4
497
#define CFG_DSU_ITB 4
498
#elif CONFIG_DSU_ITRACESZ8
499
#define CFG_DSU_ITB 8
500
#elif CONFIG_DSU_ITRACESZ16
501
#define CFG_DSU_ITB 16
502
#else
503
#define CFG_DSU_ITB 0
504
#endif
505
 
506
#if defined CONFIG_DSU_ATRACESZ1
507
#define CFG_DSU_ATB 1
508
#elif CONFIG_DSU_ATRACESZ2
509
#define CFG_DSU_ATB 2
510
#elif CONFIG_DSU_ATRACESZ4
511
#define CFG_DSU_ATB 4
512
#elif CONFIG_DSU_ATRACESZ8
513
#define CFG_DSU_ATB 8
514
#elif CONFIG_DSU_ATRACESZ16
515
#define CFG_DSU_ATB 16
516
#else
517
#define CFG_DSU_ATB 0
518
#endif
519
 
520
#ifndef CONFIG_LEON3FT_EN
521
#define CONFIG_LEON3FT_EN 0
522
#endif
523
 
524
#if defined CONFIG_IUFT_PAR
525
#define CONFIG_IUFT_EN 1
526
#elif defined CONFIG_IUFT_DMR
527
#define CONFIG_IUFT_EN 2
528
#elif defined CONFIG_IUFT_BCH
529
#define CONFIG_IUFT_EN 3
530
#elif defined CONFIG_IUFT_TMR
531
#define CONFIG_IUFT_EN 4
532
#else
533
#define CONFIG_IUFT_EN 0
534
#endif
535
#ifndef CONFIG_RF_ERRINJ
536
#define CONFIG_RF_ERRINJ 0
537
#endif
538
 
539
#ifndef CONFIG_FPUFT_EN
540
#define CONFIG_FPUFT 0
541
#else
542
#ifdef CONFIG_FPU_GRFPU
543
#define CONFIG_FPUFT 2
544
#else
545
#define CONFIG_FPUFT 1
546
#endif
547
#endif
548
 
549
#ifndef CONFIG_CACHE_FT_EN
550
#define CONFIG_CACHE_FT_EN 0
551
#endif
552
#ifndef CONFIG_CACHE_ERRINJ
553
#define CONFIG_CACHE_ERRINJ 0
554
#endif
555
 
556
#ifndef CONFIG_LEON3_NETLIST
557
#define CONFIG_LEON3_NETLIST 0
558
#endif
559
 
560
#ifdef CONFIG_DEBUG_PC32
561
#define CFG_DEBUG_PC32 0 
562
#else
563
#define CFG_DEBUG_PC32 2
564
#endif
565
#ifndef CONFIG_IU_DISAS
566
#define CONFIG_IU_DISAS 0
567
#endif
568
#ifndef CONFIG_IU_DISAS_NET
569
#define CONFIG_IU_DISAS_NET 0
570
#endif
571
 
572
 
573
#ifndef CONFIG_AHB_SPLIT
574
#define CONFIG_AHB_SPLIT 0
575
#endif
576
 
577
#ifndef CONFIG_AHB_RROBIN
578
#define CONFIG_AHB_RROBIN 0
579
#endif
580
 
581
#ifndef CONFIG_AHB_IOADDR
582
#define CONFIG_AHB_IOADDR FFF
583
#endif
584
 
585
#ifndef CONFIG_APB_HADDR
586
#define CONFIG_APB_HADDR 800
587
#endif
588
 
589
#ifndef CONFIG_AHB_MON
590
#define CONFIG_AHB_MON 0
591
#endif
592
 
593
#ifndef CONFIG_AHB_MONERR
594
#define CONFIG_AHB_MONERR 0
595
#endif
596
 
597
#ifndef CONFIG_AHB_MONWAR
598
#define CONFIG_AHB_MONWAR 0
599
#endif
600
 
601
#ifndef CONFIG_DSU_UART
602
#define CONFIG_DSU_UART 0
603
#endif
604
 
605
 
606
#ifndef CONFIG_DSU_JTAG
607
#define CONFIG_DSU_JTAG 0
608
#endif
609
 
610
#ifndef CONFIG_GRUSB_DCL
611
#define CONFIG_GRUSB_DCL 0
612
#endif
613
 
614
#if defined CONFIG_GRUSB_DCL_UTMI16
615
#define CONFIG_GRUSB_DCL_UIFACE 0
616
#define CONFIG_GRUSB_DCL_DW 16
617
#elif defined CONFIG_GRUSB_DCL_UTMI8
618
#define CONFIG_GRUSB_DCL_UIFACE 0
619
#define CONFIG_GRUSB_DCL_DW 8
620
#else
621
#define CONFIG_GRUSB_DCL_UIFACE 1
622
#define CONFIG_GRUSB_DCL_DW 8
623
#endif
624
#ifndef CONFIG_DSU_ETH
625
#define CONFIG_DSU_ETH 0
626
#endif
627
 
628
#ifndef CONFIG_DSU_IPMSB
629
#define CONFIG_DSU_IPMSB C0A8
630
#endif
631
 
632
#ifndef CONFIG_DSU_IPLSB
633
#define CONFIG_DSU_IPLSB 0033
634
#endif
635
 
636
#ifndef CONFIG_DSU_ETHMSB
637
#define CONFIG_DSU_ETHMSB 00007A
638
#endif
639
 
640
#ifndef CONFIG_DSU_ETHLSB
641
#define CONFIG_DSU_ETHLSB CC0001
642
#endif
643
 
644
#if defined CONFIG_DSU_ETHSZ1
645
#define CFG_DSU_ETHB 1
646
#elif CONFIG_DSU_ETHSZ2
647
#define CFG_DSU_ETHB 2
648
#elif CONFIG_DSU_ETHSZ4
649
#define CFG_DSU_ETHB 4
650
#elif CONFIG_DSU_ETHSZ8
651
#define CFG_DSU_ETHB 8
652
#elif CONFIG_DSU_ETHSZ16
653
#define CFG_DSU_ETHB 16
654
#elif CONFIG_DSU_ETHSZ32
655
#define CFG_DSU_ETHB 32
656
#else
657
#define CFG_DSU_ETHB 1
658
#endif
659
 
660
#ifndef CONFIG_DSU_ETH_PROG
661
#define CONFIG_DSU_ETH_PROG 0
662
#endif
663
 
664
#ifndef CONFIG_MCTRL_LEON2
665
#define CONFIG_MCTRL_LEON2 0
666
#endif
667
 
668
#ifndef CONFIG_MCTRL_SDRAM
669
#define CONFIG_MCTRL_SDRAM 0
670
#endif
671
 
672
#ifndef CONFIG_MCTRL_SDRAM_SEPBUS
673
#define CONFIG_MCTRL_SDRAM_SEPBUS 0
674
#endif
675
 
676
#ifndef CONFIG_MCTRL_SDRAM_INVCLK
677
#define CONFIG_MCTRL_SDRAM_INVCLK 0
678
#endif
679
 
680
#ifndef CONFIG_MCTRL_SDRAM_BUS64
681
#define CONFIG_MCTRL_SDRAM_BUS64 0
682
#endif
683
 
684
#ifndef CONFIG_MCTRL_8BIT
685
#define CONFIG_MCTRL_8BIT 0
686
#endif
687
 
688
#ifndef CONFIG_MCTRL_16BIT
689
#define CONFIG_MCTRL_16BIT 0
690
#endif
691
 
692
#ifndef CONFIG_MCTRL_5CS
693
#define CONFIG_MCTRL_5CS 0
694
#endif
695
 
696
#ifndef CONFIG_MCTRL_EDAC
697
#define CONFIG_MCTRL_EDAC 0
698
#endif
699
 
700
#ifndef CONFIG_MCTRL_PAGE
701
#define CONFIG_MCTRL_PAGE 0
702
#endif
703
 
704
#ifndef CONFIG_MCTRL_PROGPAGE
705
#define CONFIG_MCTRL_PROGPAGE 0
706
#endif
707
 
708
#ifndef CONFIG_AHBSTAT_ENABLE
709
#define CONFIG_AHBSTAT_ENABLE  0
710
#endif
711
 
712
#ifndef CONFIG_AHBSTAT_NFTSLV
713
#define CONFIG_AHBSTAT_NFTSLV  1
714
#endif
715
 
716
 
717
#ifndef CONFIG_AHBRAM_ENABLE
718
#define CONFIG_AHBRAM_ENABLE 0
719
#endif
720
 
721
#ifndef CONFIG_AHBRAM_START
722
#define CONFIG_AHBRAM_START A00
723
#endif
724
 
725
#if defined CONFIG_AHBRAM_SZ1
726
#define CFG_AHBRAMSZ 1
727
#elif CONFIG_AHBRAM_SZ2
728
#define CFG_AHBRAMSZ 2
729
#elif CONFIG_AHBRAM_SZ4
730
#define CFG_AHBRAMSZ 4
731
#elif CONFIG_AHBRAM_SZ8
732
#define CFG_AHBRAMSZ 8
733
#elif CONFIG_AHBRAM_SZ16
734
#define CFG_AHBRAMSZ 16
735
#elif CONFIG_AHBRAM_SZ32
736
#define CFG_AHBRAMSZ 32
737
#elif CONFIG_AHBRAM_SZ64
738
#define CFG_AHBRAMSZ 64
739
#else
740
#define CFG_AHBRAMSZ 1
741
#endif
742
 
743
#ifndef CONFIG_GRETH_ENABLE
744
#define CONFIG_GRETH_ENABLE 0
745
#endif
746
 
747
#ifndef CONFIG_GRETH_GIGA
748
#define CONFIG_GRETH_GIGA 0
749
#endif
750
 
751
#if defined CONFIG_GRETH_FIFO4
752
#define CFG_GRETH_FIFO 4
753
#elif defined CONFIG_GRETH_FIFO8
754
#define CFG_GRETH_FIFO 8
755
#elif defined CONFIG_GRETH_FIFO16
756
#define CFG_GRETH_FIFO 16
757
#elif defined CONFIG_GRETH_FIFO32
758
#define CFG_GRETH_FIFO 32
759
#elif defined CONFIG_GRETH_FIFO64
760
#define CFG_GRETH_FIFO 64
761
#else
762
#define CFG_GRETH_FIFO 8
763
#endif
764
 
765
#ifndef CONFIG_CAN_ENABLE
766
#define CONFIG_CAN_ENABLE 0
767
#endif
768
 
769
#ifndef CONFIG_CAN_NUM
770
#define CONFIG_CAN_NUM 1
771
#endif
772
 
773
#ifndef CONFIG_CANIO
774
#define CONFIG_CANIO 0
775
#endif
776
 
777
#ifndef CONFIG_CANIRQ
778
#define CONFIG_CANIRQ 0
779
#endif
780
 
781
#ifndef CONFIG_CANSEPIRQ
782
#define CONFIG_CANSEPIRQ 0
783
#endif
784
 
785
#ifndef CONFIG_CAN_SYNCRST
786
#define CONFIG_CAN_SYNCRST 0
787
#endif
788
 
789
#ifndef CONFIG_CAN_FT
790
#define CONFIG_CAN_FT 0
791
#endif
792
 
793
#ifndef CONFIG_SPW_ENABLE
794
#define CONFIG_SPW_ENABLE 0
795
#endif
796
 
797
#ifndef CONFIG_SPW_NUM
798
#define CONFIG_SPW_NUM 1
799
#endif
800
 
801
#if defined CONFIG_SPW_AHBFIFO4
802
#define CONFIG_SPW_AHBFIFO 4
803
#elif defined CONFIG_SPW_AHBFIFO8
804
#define CONFIG_SPW_AHBFIFO 8
805
#elif defined CONFIG_SPW_AHBFIFO16
806
#define CONFIG_SPW_AHBFIFO 16
807
#elif defined CONFIG_SPW_AHBFIFO32
808
#define CONFIG_SPW_AHBFIFO 32
809
#elif defined CONFIG_SPW_AHBFIFO64
810
#define CONFIG_SPW_AHBFIFO 64
811
#else
812
#define CONFIG_SPW_AHBFIFO 4
813
#endif
814
 
815
#if defined CONFIG_SPW_RXFIFO16
816
#define CONFIG_SPW_RXFIFO 16
817
#elif defined CONFIG_SPW_RXFIFO32
818
#define CONFIG_SPW_RXFIFO 32
819
#elif defined CONFIG_SPW_RXFIFO64
820
#define CONFIG_SPW_RXFIFO 64
821
#else
822
#define CONFIG_SPW_RXFIFO 16
823
#endif
824
 
825
#ifndef CONFIG_SPW_RMAP
826
#define CONFIG_SPW_RMAP 0
827
#endif
828
 
829
#if defined CONFIG_SPW_RMAPBUF2
830
#define CONFIG_SPW_RMAPBUF 2
831
#elif defined CONFIG_SPW_RMAPBUF4
832
#define CONFIG_SPW_RMAPBUF 4
833
#elif defined CONFIG_SPW_RMAPBUF6
834
#define CONFIG_SPW_RMAPBUF 6
835
#elif defined CONFIG_SPW_RMAPBUF8
836
#define CONFIG_SPW_RMAPBUF 8
837
#else
838
#define CONFIG_SPW_RMAPBUF 4
839
#endif
840
 
841
#ifndef CONFIG_SPW_RMAPCRC
842
#define CONFIG_SPW_RMAPCRC 0
843
#endif
844
 
845
#ifndef CONFIG_SPW_NETLIST
846
#define CONFIG_SPW_NETLIST 0
847
#endif
848
 
849
#ifndef CONFIG_SPW_FT
850
#define CONFIG_SPW_FT 0
851
#endif
852
 
853
#if defined CONFIG_SPW_GRSPW1
854
#define CONFIG_SPW_GRSPW 1
855
#else
856
#define CONFIG_SPW_GRSPW 2
857
#endif
858
 
859
#if defined CONFIG_PCI_SIMPLE_TARGET
860
#define CFG_PCITYPE 1
861
#elif defined CONFIG_PCI_MASTER_TARGET_DMA
862
#define CFG_PCITYPE 3
863
#elif defined CONFIG_PCI_MASTER_TARGET
864
#define CFG_PCITYPE 2
865
#else
866
#define CFG_PCITYPE 0
867
#endif
868
 
869
#ifndef CONFIG_PCI_VENDORID
870
#define CONFIG_PCI_VENDORID 0
871
#endif
872
 
873
#ifndef CONFIG_PCI_DEVICEID
874
#define CONFIG_PCI_DEVICEID 0
875
#endif
876
 
877
#ifndef CONFIG_PCI_REVID
878
#define CONFIG_PCI_REVID 0
879
#endif
880
 
881
#if defined CONFIG_PCI_FIFO0
882
#define CFG_PCIFIFO 8
883
#define CFG_PCI_ENFIFO 0
884
#elif defined CONFIG_PCI_FIFO16
885
#define CFG_PCIFIFO 16
886
#elif defined CONFIG_PCI_FIFO32
887
#define CFG_PCIFIFO 32
888
#elif defined CONFIG_PCI_FIFO64
889
#define CFG_PCIFIFO 64
890
#elif defined CONFIG_PCI_FIFO128
891
#define CFG_PCIFIFO 128
892
#elif defined CONFIG_PCI_FIFO256
893
#define CFG_PCIFIFO 256
894
#else
895
#define CFG_PCIFIFO 8
896
#endif
897
 
898
#ifndef CFG_PCI_ENFIFO
899
#define CFG_PCI_ENFIFO 1
900
#endif
901
 
902
 
903
#ifndef CONFIG_PCI_ARBITER_APB
904
#define CONFIG_PCI_ARBITER_APB 0
905
#endif
906
 
907
#ifndef CONFIG_PCI_ARBITER
908
#define CONFIG_PCI_ARBITER 0
909
#endif
910
 
911
#ifndef CONFIG_PCI_ARBITER_NREQ
912
#define CONFIG_PCI_ARBITER_NREQ 4
913
#endif
914
 
915
#ifndef CONFIG_PCI_TRACE
916
#define CONFIG_PCI_TRACE 0
917
#endif
918
 
919
#if defined CONFIG_PCI_TRACE512
920
#define CFG_PCI_TRACEBUF 512
921
#elif defined CONFIG_PCI_TRACE1024
922
#define CFG_PCI_TRACEBUF 1024
923
#elif defined CONFIG_PCI_TRACE2048
924
#define CFG_PCI_TRACEBUF 2048
925
#elif defined CONFIG_PCI_TRACE4096
926
#define CFG_PCI_TRACEBUF 4096
927
#else
928
#define CFG_PCI_TRACEBUF 256
929
#endif
930
 
931
 
932
#ifndef CONFIG_GRUSBHC_ENABLE
933
#define CONFIG_GRUSBHC_ENABLE 0
934
#endif
935
 
936
#ifndef CONFIG_GRUSBHC_NPORTS
937
#define CONFIG_GRUSBHC_NPORTS 1
938
#endif
939
 
940
#ifndef CONFIG_GRUSBHC_EHC
941
#define CONFIG_GRUSBHC_EHC 0
942
#endif
943
 
944
#ifndef CONFIG_GRUSBHC_UHC
945
#define CONFIG_GRUSBHC_UHC 0
946
#endif
947
 
948
#ifndef CONFIG_GRUSBHC_NCC
949
#define CONFIG_GRUSBHC_NCC 1
950
#endif
951
 
952
#ifndef CONFIG_GRUSBHC_NPCC
953
#define CONFIG_GRUSBHC_NPCC 1
954
#endif
955
 
956
#ifndef CONFIG_GRUSBHC_PRR
957
#define CONFIG_GRUSBHC_PRR 0
958
#endif
959
 
960
#ifndef CONFIG_GRUSBHC_ENDIAN
961
#define CONFIG_GRUSBHC_ENDIAN 1
962
#endif
963
 
964
#ifndef CONFIG_GRUSBHC_BLO
965
#define CONFIG_GRUSBHC_BLO 3
966
#endif
967
 
968
#ifndef CONFIG_GRUSBHC_BEREGS
969
#define CONFIG_GRUSBHC_BEREGS 0
970
#endif
971
 
972
#ifndef CONFIG_GRUSBHC_BEDESC
973
#define CONFIG_GRUSBHC_BEDESC 0
974
#endif
975
 
976
#ifndef CONFIG_GRUSBHC_BWRD
977
#define CONFIG_GRUSBHC_BWRD 16
978
#endif
979
 
980
#if defined CONFIG_GRUSBHC_UTMI16
981
#define CONFIG_GRUSBHC_UTMTYPE 0
982
#if defined CONFIG_GRUSBHC_ENABLEH
983
#if defined CONFIG_GRUSBHC_FAULT2H
984
#define CONFIG_GRUSBHC_VBUSCONF 3
985
#else
986
#define CONFIG_GRUSBHC_VBUSCONF 1
987
#endif
988
#else
989
#if defined CONFIG_GRUSBHC_FAULT2H
990
#define CONFIG_GRUSBHC_VBUSCONF 2
991
#else
992
#define CONFIG_GRUSBHC_VBUSCONF 0
993
#endif
994
#endif
995
#elif defined CONFIG_GRUSBHC_UTMI8
996
#define CONFIG_GRUSBHC_UTMTYPE 1
997
#if defined CONFIG_GRUSBHC_ENABLEH
998
#if defined CONFIG_GRUSBHC_FAULT2H
999
#define CONFIG_GRUSBHC_VBUSCONF 3
1000
#else
1001
#define CONFIG_GRUSBHC_VBUSCONF 1
1002
#endif
1003
#else
1004
#if defined CONFIG_GRUSBHC_FAULT2H
1005
#define CONFIG_GRUSBHC_VBUSCONF 2
1006
#else
1007
#define CONFIG_GRUSBHC_VBUSCONF 0
1008
#endif
1009
#endif
1010
#else
1011
#define CONFIG_GRUSBHC_UTMTYPE 2
1012
#if defined CONFIG_GRUSBHC_VBUSINT
1013
#define CONFIG_GRUSBHC_VBUSCONF 0
1014
#else
1015
#if defined CONFIG_GRUSBHC_FAULTL
1016
#define CONFIG_GRUSBHC_VBUSCONF 3
1017
#elif defined CONFIG_GRUSBHC_FAULTH
1018
#define CONFIG_GRUSBHC_VBUSCONF 2
1019
#else
1020
#define CONFIG_GRUSBHC_VBUSCONF 1
1021
#endif
1022
#endif
1023
#endif
1024
 
1025
 
1026
#ifndef CONFIG_GRUSBHC_VBUSCONF
1027
#define CONFIG_GRUSBHC_VBUSCONF 3
1028
#endif
1029
 
1030
#ifndef CONFIG_GRUSBHC_PR1
1031
#define CONFIG_GRUSBHC_PR1 1
1032
#endif
1033
#ifndef CONFIG_GRUSBHC_PR2
1034
#define CONFIG_GRUSBHC_PR2 0
1035
#endif
1036
#ifndef CONFIG_GRUSBHC_PR3
1037
#define CONFIG_GRUSBHC_PR3 0
1038
#endif
1039
#ifndef CONFIG_GRUSBHC_PR4
1040
#define CONFIG_GRUSBHC_PR4 0
1041
#endif
1042
#ifndef CONFIG_GRUSBHC_PR5
1043
#define CONFIG_GRUSBHC_PR5 0
1044
#endif
1045
#ifndef CONFIG_GRUSBHC_PR6
1046
#define CONFIG_GRUSBHC_PR6 0
1047
#endif
1048
#ifndef CONFIG_GRUSBHC_PR7
1049
#define CONFIG_GRUSBHC_PR7 0
1050
#endif
1051
#ifndef CONFIG_GRUSBHC_PR8
1052
#define CONFIG_GRUSBHC_PR8 0
1053
#endif
1054
#ifndef CONFIG_GRUSBHC_PR9
1055
#define CONFIG_GRUSBHC_PR9 0
1056
#endif
1057
#ifndef CONFIG_GRUSBHC_PR10
1058
#define CONFIG_GRUSBHC_PR10 0
1059
#endif
1060
#ifndef CONFIG_GRUSBHC_PR11
1061
#define CONFIG_GRUSBHC_PR11 0
1062
#endif
1063
#ifndef CONFIG_GRUSBHC_PR12
1064
#define CONFIG_GRUSBHC_PR12 0
1065
#endif
1066
#ifndef CONFIG_GRUSBHC_PR13
1067
#define CONFIG_GRUSBHC_PR13 0
1068
#endif
1069
#ifndef CONFIG_GRUSBHC_PR14
1070
#define CONFIG_GRUSBHC_PR14 0
1071
#endif
1072
#ifndef CONFIG_GRUSBHC_PR15
1073
#define CONFIG_GRUSBHC_PR15 0
1074
#endif
1075
 
1076
#define CONFIG_GRUSBHC_PORTROUTE1 CONFIG_GRUSBHC_PR8*2**26 + CONFIG_GRUSBHC_PR7*2**22 +\
1077
                                  CONFIG_GRUSBHC_PR6*2**18 + CONFIG_GRUSBHC_PR5*2**14 +\
1078
                                  CONFIG_GRUSBHC_PR4*2**10 + CONFIG_GRUSBHC_PR3*2**6 +\
1079
                                  CONFIG_GRUSBHC_PR2*2**2 + (CONFIG_GRUSBHC_PR1/4)
1080
 
1081
#define CONFIG_GRUSBHC_PORTROUTE2 CONFIG_GRUSBHC_PR15*2**26 + CONFIG_GRUSBHC_PR14*2**22 +\
1082
                                  CONFIG_GRUSBHC_PR13*2**18 + CONFIG_GRUSBHC_PR12*2**14 +\
1083
                                  CONFIG_GRUSBHC_PR11*2**10 + CONFIG_GRUSBHC_PR10*2**6 +\
1084
                                  CONFIG_GRUSBHC_PR9*2**2 + (CONFIG_GRUSBHC_PR1 mod 4)
1085
 
1086
 
1087
#ifndef CONFIG_GRUSBDC_ENABLE
1088
#define CONFIG_GRUSBDC_ENABLE 0
1089
#endif
1090
 
1091
#ifndef CONFIG_GRUSBDC_AIFACE
1092
#define CONFIG_GRUSBDC_AIFACE 0
1093
#endif
1094
 
1095
#if defined CONFIG_GRUSBDC_UTMI16
1096
#define CONFIG_GRUSBDC_UIFACE 0
1097
#define CONFIG_GRUSBDC_DW 16
1098
#elif defined CONFIG_GRUSBDC_UTMI8
1099
#define CONFIG_GRUSBDC_UIFACE 0
1100
#define CONFIG_GRUSBDC_DW 8
1101
#else
1102
#define CONFIG_GRUSBDC_UIFACE 1
1103
#define CONFIG_GRUSBDC_DW 8
1104
#endif
1105
 
1106
#ifndef CONFIG_GRUSBDC_NEPI
1107
#define CONFIG_GRUSBDC_NEPI 1
1108
#endif
1109
 
1110
#ifndef CONFIG_GRUSBDC_NEPO
1111
#define CONFIG_GRUSBDC_NEPO 1
1112
#endif
1113
 
1114
#ifndef CONFIG_GRUSBDC_I0
1115
#define CONFIG_GRUSBDC_I0 1024
1116
#endif
1117
#ifndef CONFIG_GRUSBDC_I1
1118
#define CONFIG_GRUSBDC_I1 1024
1119
#endif
1120
#ifndef CONFIG_GRUSBDC_I2
1121
#define CONFIG_GRUSBDC_I2 1024
1122
#endif
1123
#ifndef CONFIG_GRUSBDC_I3
1124
#define CONFIG_GRUSBDC_I3 1024
1125
#endif
1126
#ifndef CONFIG_GRUSBDC_I4
1127
#define CONFIG_GRUSBDC_I4 1024
1128
#endif
1129
#ifndef CONFIG_GRUSBDC_I5
1130
#define CONFIG_GRUSBDC_I5 1024
1131
#endif
1132
#ifndef CONFIG_GRUSBDC_I6
1133
#define CONFIG_GRUSBDC_I6 1024
1134
#endif
1135
#ifndef CONFIG_GRUSBDC_I7
1136
#define CONFIG_GRUSBDC_I7 1024
1137
#endif
1138
#ifndef CONFIG_GRUSBDC_I8
1139
#define CONFIG_GRUSBDC_I8 1024
1140
#endif
1141
#ifndef CONFIG_GRUSBDC_I9
1142
#define CONFIG_GRUSBDC_I9 1024
1143
#endif
1144
#ifndef CONFIG_GRUSBDC_I10
1145
#define CONFIG_GRUSBDC_I10 1024
1146
#endif
1147
#ifndef CONFIG_GRUSBDC_I11
1148
#define CONFIG_GRUSBDC_I11 1024
1149
#endif
1150
#ifndef CONFIG_GRUSBDC_I12
1151
#define CONFIG_GRUSBDC_I12 1024
1152
#endif
1153
#ifndef CONFIG_GRUSBDC_I13
1154
#define CONFIG_GRUSBDC_I13 1024
1155
#endif
1156
#ifndef CONFIG_GRUSBDC_I14
1157
#define CONFIG_GRUSBDC_I14 1024
1158
#endif
1159
#ifndef CONFIG_GRUSBDC_I15
1160
#define CONFIG_GRUSBDC_I15 1024
1161
#endif
1162
#ifndef CONFIG_GRUSBDC_O0
1163
#define CONFIG_GRUSBDC_O0 1024
1164
#endif
1165
#ifndef CONFIG_GRUSBDC_O1
1166
#define CONFIG_GRUSBDC_O1 1024
1167
#endif
1168
#ifndef CONFIG_GRUSBDC_O2
1169
#define CONFIG_GRUSBDC_O2 1024
1170
#endif
1171
#ifndef CONFIG_GRUSBDC_O3
1172
#define CONFIG_GRUSBDC_O3 1024
1173
#endif
1174
#ifndef CONFIG_GRUSBDC_O4
1175
#define CONFIG_GRUSBDC_O4 1024
1176
#endif
1177
#ifndef CONFIG_GRUSBDC_O5
1178
#define CONFIG_GRUSBDC_O5 1024
1179
#endif
1180
#ifndef CONFIG_GRUSBDC_O6
1181
#define CONFIG_GRUSBDC_O6 1024
1182
#endif
1183
#ifndef CONFIG_GRUSBDC_O7
1184
#define CONFIG_GRUSBDC_O7 1024
1185
#endif
1186
#ifndef CONFIG_GRUSBDC_O8
1187
#define CONFIG_GRUSBDC_O8 1024
1188
#endif
1189
#ifndef CONFIG_GRUSBDC_O9
1190
#define CONFIG_GRUSBDC_O9 1024
1191
#endif
1192
#ifndef CONFIG_GRUSBDC_O10
1193
#define CONFIG_GRUSBDC_O10 1024
1194
#endif
1195
#ifndef CONFIG_GRUSBDC_O11
1196
#define CONFIG_GRUSBDC_O11 1024
1197
#endif
1198
#ifndef CONFIG_GRUSBDC_O12
1199
#define CONFIG_GRUSBDC_O12 1024
1200
#endif
1201
#ifndef CONFIG_GRUSBDC_O13
1202
#define CONFIG_GRUSBDC_O13 1024
1203
#endif
1204
#ifndef CONFIG_GRUSBDC_O14
1205
#define CONFIG_GRUSBDC_O14 1024
1206
#endif
1207
#ifndef CONFIG_GRUSBDC_O15
1208
#define CONFIG_GRUSBDC_O15 1024
1209
#endif
1210
#ifndef CONFIG_UART1_ENABLE
1211
#define CONFIG_UART1_ENABLE 0
1212
#endif
1213
 
1214
#if defined CONFIG_UA1_FIFO1
1215
#define CFG_UA1_FIFO 1
1216
#elif defined CONFIG_UA1_FIFO2
1217
#define CFG_UA1_FIFO 2
1218
#elif defined CONFIG_UA1_FIFO4
1219
#define CFG_UA1_FIFO 4
1220
#elif defined CONFIG_UA1_FIFO8
1221
#define CFG_UA1_FIFO 8
1222
#elif defined CONFIG_UA1_FIFO16
1223
#define CFG_UA1_FIFO 16
1224
#elif defined CONFIG_UA1_FIFO32
1225
#define CFG_UA1_FIFO 32
1226
#else
1227
#define CFG_UA1_FIFO 1
1228
#endif
1229
 
1230
#ifndef CONFIG_UART2_ENABLE
1231
#define CONFIG_UART2_ENABLE 0
1232
#endif
1233
 
1234
#if defined CONFIG_UA2_FIFO1
1235
#define CFG_UA2_FIFO 1
1236
#elif defined CONFIG_UA2_FIFO2
1237
#define CFG_UA2_FIFO 2
1238
#elif defined CONFIG_UA2_FIFO4
1239
#define CFG_UA2_FIFO 4
1240
#elif defined CONFIG_UA2_FIFO8
1241
#define CFG_UA2_FIFO 8
1242
#elif defined CONFIG_UA2_FIFO16
1243
#define CFG_UA2_FIFO 16
1244
#elif defined CONFIG_UA2_FIFO32
1245
#define CFG_UA2_FIFO 32
1246
#else
1247
#define CFG_UA2_FIFO 1
1248
#endif
1249
 
1250
#ifndef CONFIG_IRQ3_ENABLE
1251
#define CONFIG_IRQ3_ENABLE 0
1252
#endif
1253
#ifndef CONFIG_IRQ3_NSEC
1254
#define CONFIG_IRQ3_NSEC 0
1255
#endif
1256
#ifndef CONFIG_GPT_ENABLE
1257
#define CONFIG_GPT_ENABLE 0
1258
#endif
1259
 
1260
#ifndef CONFIG_GPT_NTIM
1261
#define CONFIG_GPT_NTIM 1
1262
#endif
1263
 
1264
#ifndef CONFIG_GPT_SW
1265
#define CONFIG_GPT_SW 8
1266
#endif
1267
 
1268
#ifndef CONFIG_GPT_TW
1269
#define CONFIG_GPT_TW 8
1270
#endif
1271
 
1272
#ifndef CONFIG_GPT_IRQ
1273
#define CONFIG_GPT_IRQ 8
1274
#endif
1275
 
1276
#ifndef CONFIG_GPT_SEPIRQ
1277
#define CONFIG_GPT_SEPIRQ 0
1278
#endif
1279
#ifndef CONFIG_GPT_ENABLE
1280
#define CONFIG_GPT_ENABLE 0
1281
#endif
1282
 
1283
#ifndef CONFIG_GPT_NTIM
1284
#define CONFIG_GPT_NTIM 1
1285
#endif
1286
 
1287
#ifndef CONFIG_GPT_SW
1288
#define CONFIG_GPT_SW 8
1289
#endif
1290
 
1291
#ifndef CONFIG_GPT_TW
1292
#define CONFIG_GPT_TW 8
1293
#endif
1294
 
1295
#ifndef CONFIG_GPT_IRQ
1296
#define CONFIG_GPT_IRQ 8
1297
#endif
1298
 
1299
#ifndef CONFIG_GPT_SEPIRQ
1300
#define CONFIG_GPT_SEPIRQ 0
1301
#endif
1302
 
1303
#ifndef CONFIG_GPT_WDOGEN
1304
#define CONFIG_GPT_WDOGEN 0
1305
#endif
1306
 
1307
#ifndef CONFIG_GPT_WDOG
1308
#define CONFIG_GPT_WDOG 0
1309
#endif
1310
 
1311
#ifndef CONFIG_GRGPIO_ENABLE
1312
#define CONFIG_GRGPIO_ENABLE 0
1313
#endif
1314
#ifndef CONFIG_GRGPIO_IMASK
1315
#define CONFIG_GRGPIO_IMASK 0000
1316
#endif
1317
#ifndef CONFIG_GRGPIO_WIDTH
1318
#define CONFIG_GRGPIO_WIDTH 1
1319
#endif
1320
 
1321
 
1322
#ifndef CONFIG_DEBUG_UART
1323
#define CONFIG_DEBUG_UART 0
1324
#endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.