OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [compile.son] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
        vhdlp -s -work  grlib ../../lib/grlib/stdlib/version.vhd
2
 
3
        vhdlp -s -work  grlib ../../lib/grlib/stdlib/stdio.vhd
4
 
5
        vhdlp -s -work  grlib ../../lib/grlib/sparc/sparc.vhd
6
 
7
        vhdlp -s -work  grlib ../../lib/grlib/sparc/cpu_disas.vhd
8
 
9
        vhdlp -s -work  grlib ../../lib/grlib/modgen/leaves.vhd
10
 
11
        vhdlp -s -work  grlib ../../lib/grlib/amba/devices.vhd
12
 
13
        vhdlp -s -work  grlib ../../lib/grlib/amba/apbctrl.vhd
14
 
15
        vhdlp -s -work  grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd
16
 
17
        vhdlp -s -work  grlib ../../lib/grlib/amba/dma2ahb_tp.vhd
18
 
19
        vhdlp -s -work  unisim ../../lib/tech/unisim/simprims/xilinx_simprims.vhd
20
 
21
        vhdlp -s -work  dw02 ../../lib/tech/dw02/comp/DW02_components.vhd
22
 
23
        vhdlp -s -work  synplify ../../lib/synplify/sim/synattr.vhd
24
 
25
        vhdlp -s -work  techmap ../../lib/techmap/gencomp/netcomp.vhd
26
 
27
        vhdlp -s -work  techmap ../../lib/techmap/inferred/ddr_inferred.vhd
28
 
29
        vhdlp -s -work  techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd
30
 
31
        vhdlp -s -work  techmap ../../lib/techmap/unisim/memory_unisim.vhd
32
 
33
        vhdlp -s -work  techmap ../../lib/techmap/unisim/pads_unisim.vhd
34
 
35
        vhdlp -s -work  techmap ../../lib/techmap/unisim/tap_unisim.vhd
36
 
37
        vhdlp -s -work  techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd
38
 
39
        vhdlp -s -work  techmap ../../lib/techmap/unisim/grfpw_unisim.vhd
40
 
41
        vhdlp -s -work  techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd
42
 
43
        vhdlp -s -work  techmap ../../lib/techmap/maps/allclkgen.vhd
44
 
45
        vhdlp -s -work  techmap ../../lib/techmap/maps/allmem.vhd
46
 
47
        vhdlp -s -work  techmap ../../lib/techmap/maps/alltap.vhd
48
 
49
        vhdlp -s -work  techmap ../../lib/techmap/maps/clkmux.vhd
50
 
51
        vhdlp -s -work  techmap ../../lib/techmap/maps/ddr_ireg.vhd
52
 
53
        vhdlp -s -work  techmap ../../lib/techmap/maps/ddrphy.vhd
54
 
55
        vhdlp -s -work  techmap ../../lib/techmap/maps/syncram64.vhd
56
 
57
        vhdlp -s -work  techmap ../../lib/techmap/maps/syncram_dp.vhd
58
 
59
        vhdlp -s -work  techmap ../../lib/techmap/maps/regfile_3p.vhd
60
 
61
        vhdlp -s -work  techmap ../../lib/techmap/maps/techbuf.vhd
62
 
63
        vhdlp -s -work  techmap ../../lib/techmap/maps/clkpad_ds.vhd
64
 
65
        vhdlp -s -work  techmap ../../lib/techmap/maps/inpad_ds.vhd
66
 
67
        vhdlp -s -work  techmap ../../lib/techmap/maps/iopad.vhd
68
 
69
        vhdlp -s -work  techmap ../../lib/techmap/maps/lvds_combo.vhd
70
 
71
        vhdlp -s -work  techmap ../../lib/techmap/maps/outpad.vhd
72
 
73
        vhdlp -s -work  techmap ../../lib/techmap/maps/toutpad.vhd
74
 
75
        vhdlp -s -work  techmap ../../lib/techmap/maps/grspwc_net.vhd
76
 
77
        vhdlp -s -work  techmap ../../lib/techmap/maps/grfpw_net.vhd
78
 
79
        vhdlp -s -work  techmap ../../lib/techmap/maps/cpu_disas_net.vhd
80
 
81
        vhdlp -s -work  techmap ../../lib/techmap/maps/ringosc.vhd
82
 
83
        vhdlp -s -work  spw ../../lib/spw/comp/spwcomp.vhd
84
 
85
        vhdlp -s -work  eth ../../lib/eth/comp/ethcomp.vhd
86
 
87
        vhdlp -s -work  eth ../../lib/eth/core/eth_rstgen.vhd
88
 
89
        vhdlp -s -work  eth ../../lib/eth/core/greth_tx.vhd
90
 
91
        vhdlp -s -work  eth ../../lib/eth/core/grethc.vhd
92
 
93
        vhdlp -s -work  eth ../../lib/eth/wrapper/greth_gbit_gen.vhd
94
 
95
        vhdlp -s -work  opencores ../../lib/opencores/can/cancomp.vhd
96
 
97
        vhdlp -s -work  opencores ../../lib/opencores/can/can_top_core_sync.vhd
98
 
99
        vhdlp -s -work  opencores ../../lib/opencores/i2c/i2c_master_byte_ctrl.vhd
100
 
101
        vhdlp -s -work  opencores ../../lib/opencores/ata/ud_cnt.vhd
102
 
103
        vhdlp -s -work  opencores ../../lib/opencores/ata/atahost_dma_fifo.vhd
104
 
105
        vhdlp -s -work  opencores ../../lib/opencores/ata/atahost_dma_tctrl.vhd
106
 
107
        vhdlp -s -work  opencores ../../lib/opencores/ata/atahost_pio_actrl.vhd
108
 
109
        vhdlp -s -work  opencores ../../lib/opencores/ata/atahost_pio_controller.vhd
110
 
111
        vhdlp -s -work  gaisler ../../lib/gaisler/arith/arith.vhd
112
 
113
        vhdlp -s -work  gaisler ../../lib/gaisler/arith/div32.vhd
114
 
115
        vhdlp -s -work  gaisler ../../lib/gaisler/memctrl/sdctrl.vhd
116
 
117
        vhdlp -s -work  gaisler ../../lib/gaisler/memctrl/srctrl.vhd
118
 
119
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/leon3.vhd
120
 
121
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmuconfig.vhd
122
 
123
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/libmmu.vhd
124
 
125
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/libcache.vhd
126
 
127
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/cachemem.vhd
128
 
129
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd
130
 
131
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd
132
 
133
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmulru.vhd
134
 
135
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmutw.vhd
136
 
137
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmu_cache.vhd
138
 
139
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/dcache.vhd
140
 
141
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/cache.vhd
142
 
143
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/grfpwx.vhd
144
 
145
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/grlfpwx.vhd
146
 
147
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/dsu3x.vhd
148
 
149
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/proc3.vhd
150
 
151
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/leon3cg.vhd
152
 
153
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd
154
 
155
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/leon3sh.vhd
156
 
157
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/top.vhd
158
 
159
        vhdlp -s -work  gaisler ../../lib/gaisler/can/can_mod.vhd
160
 
161
        vhdlp -s -work  gaisler ../../lib/gaisler/can/can_mc.vhd
162
 
163
        vhdlp -s -work  gaisler ../../lib/gaisler/can/can_rd.vhd
164
 
165
        vhdlp -s -work  gaisler ../../lib/gaisler/misc/rstgen.vhd
166
 
167
        vhdlp -s -work  gaisler ../../lib/gaisler/misc/ahbram.vhd
168
 
169
        vhdlp -s -work  gaisler ../../lib/gaisler/misc/ahbmst.vhd
170
 
171
        vhdlp -s -work  gaisler ../../lib/gaisler/misc/ahbstat.vhd
172
 
173
        vhdlp -s -work  gaisler ../../lib/gaisler/misc/apbps2.vhd
174
 
175
        vhdlp -s -work  gaisler ../../lib/gaisler/misc/charrom.vhd
176
 
177
        vhdlp -s -work  gaisler ../../lib/gaisler/misc/ahbdma.vhd
178
 
179
        vhdlp -s -work  gaisler ../../lib/gaisler/misc/i2cmst.vhd
180
 
181
        vhdlp -s -work  gaisler ../../lib/gaisler/misc/i2cslv.vhd
182
 
183
        vhdlp -s -work  gaisler ../../lib/gaisler/misc/wild2ahb.vhd
184
 
185
        vhdlp -s -work  gaisler ../../lib/gaisler/uart/uart.vhd
186
 
187
        vhdlp -s -work  gaisler ../../lib/gaisler/uart/apbuart.vhd
188
 
189
        vhdlp -s -work  gaisler ../../lib/gaisler/uart/dcom_uart.vhd
190
 
191
        vhdlp -s -work  gaisler ../../lib/gaisler/sim/sim.vhd
192
 
193
        vhdlp -s -work  gaisler ../../lib/gaisler/sim/ata_device.vhd
194
 
195
        vhdlp -s -work  gaisler ../../lib/gaisler/sim/phy.vhd
196
 
197
        vhdlp -s -work  gaisler ../../lib/gaisler/jtag/jtag.vhd
198
 
199
        vhdlp -s -work  gaisler ../../lib/gaisler/jtag/jtagcom.vhd
200
 
201
        vhdlp -s -work  gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd
202
 
203
        vhdlp -s -work  gaisler ../../lib/gaisler/greth/ethernet_mac.vhd
204
 
205
        vhdlp -s -work  gaisler ../../lib/gaisler/greth/greth_gbit.vhd
206
 
207
        vhdlp -s -work  gaisler ../../lib/gaisler/spacewire/spacewire.vhd
208
 
209
        vhdlp -s -work  gaisler ../../lib/gaisler/spacewire/grspw2.vhd
210
 
211
        vhdlp -s -work  gaisler ../../lib/gaisler/usb/grusb.vhd
212
 
213
        vhdlp -s -work  gaisler ../../lib/gaisler/ata/ata_inf.vhd
214
 
215
        vhdlp -s -work  gaisler ../../lib/gaisler/ata/atahost_ahbmst.vhd
216
 
217
        vhdlp -s -work  gaisler ../../lib/gaisler/ata/atactrl_nodma.vhd
218
 
219
        vhdlp -s -work  gaisler ../../lib/gaisler/ata/atactrl.vhd
220
 
221
        vhdlp -s -work  esa ../../lib/esa/memoryctrl/mctrl.vhd
222
 
223
        vhdlp -s -work  micron ../../lib/micron/sdram/mt48lc16m16a2.vhd
224
 
225
        vhdlp -s -work  sonata ../../lib/work/debug/grtestmod.vhd
226
 
227
        vhdlp -s -work  sonata config.vhd
228
 
229
        vhdlp -s -work  sonata vga_clkgen.vhd
230
 
231
        vhdlp -s -work  sonata testbench.vhd
232
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.