OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [leon3mp.qsf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
# Project-Wide Assignments
2
# ========================
3
#set_global_assignment -name ORIGINAL_QUARTUS_VERSION "4.1 SP2"
4
#set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:13:08 DECEMBER 01, 2004"
5
 
6
# Explicitly disable TimeQuest since the GRLIB flow invokes the classical
7
# timing analyzer and USE_TIMEQUEST_TIMING_ANALYZER defaults to "ON"
8
set_global_assignment -name USE_TIMEQUEST_TIMING_ANALYZER "OFF"
9
 
10
set_global_assignment -name VHDL_FILE ../../lib/grlib/stdlib/version.vhd -library grlib
11
set_global_assignment -name VHDL_FILE ../../lib/grlib/stdlib/stdlib.vhd -library grlib
12
set_global_assignment -name VHDL_FILE ../../lib/grlib/sparc/sparc.vhd -library grlib
13
set_global_assignment -name VHDL_FILE ../../lib/grlib/modgen/multlib.vhd -library grlib
14
set_global_assignment -name VHDL_FILE ../../lib/grlib/modgen/leaves.vhd -library grlib
15
set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/amba.vhd -library grlib
16
set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/devices.vhd -library grlib
17
set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/defmst.vhd -library grlib
18
set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/apbctrl.vhd -library grlib
19
set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/ahbctrl.vhd -library grlib
20
set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/dma2ahb_pkg.vhd -library grlib
21
set_global_assignment -name VHDL_FILE ../../lib/grlib/amba/dma2ahb.vhd -library grlib
22
set_global_assignment -name VHDL_FILE ../../lib/techmap/gencomp/gencomp.vhd -library techmap
23
set_global_assignment -name VHDL_FILE ../../lib/techmap/gencomp/netcomp.vhd -library techmap
24
set_global_assignment -name VHDL_FILE ../../lib/techmap/inferred/memory_inferred.vhd -library techmap
25
set_global_assignment -name VHDL_FILE ../../lib/techmap/inferred/ddr_inferred.vhd -library techmap
26
set_global_assignment -name VHDL_FILE ../../lib/techmap/inferred/mul_inferred.vhd -library techmap
27
set_global_assignment -name VHDL_FILE ../../lib/techmap/inferred/ddr_phy_inferred.vhd -library techmap
28
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/allclkgen.vhd -library techmap
29
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/allddr.vhd -library techmap
30
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/allmem.vhd -library techmap
31
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/allpads.vhd -library techmap
32
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/alltap.vhd -library techmap
33
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/clkgen.vhd -library techmap
34
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/clkmux.vhd -library techmap
35
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/clkand.vhd -library techmap
36
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/ddr_ireg.vhd -library techmap
37
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/ddr_oreg.vhd -library techmap
38
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/ddrphy.vhd -library techmap
39
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram.vhd -library techmap
40
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram64.vhd -library techmap
41
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram_2p.vhd -library techmap
42
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncram_dp.vhd -library techmap
43
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/syncfifo.vhd -library techmap
44
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/regfile_3p.vhd -library techmap
45
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/tap.vhd -library techmap
46
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/techbuf.vhd -library techmap
47
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/clkpad.vhd -library techmap
48
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/clkpad_ds.vhd -library techmap
49
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/inpad.vhd -library techmap
50
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/inpad_ds.vhd -library techmap
51
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/iodpad.vhd -library techmap
52
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/iopad.vhd -library techmap
53
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/iopad_ds.vhd -library techmap
54
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/lvds_combo.vhd -library techmap
55
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/odpad.vhd -library techmap
56
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/outpad.vhd -library techmap
57
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/outpad_ds.vhd -library techmap
58
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/toutpad.vhd -library techmap
59
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/skew_outpad.vhd -library techmap
60
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/grspwc_net.vhd -library techmap
61
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/mul_61x61.vhd -library techmap
62
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/cpu_disas_net.vhd -library techmap
63
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/grusbhc_net.vhd -library techmap
64
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/ringosc.vhd -library techmap
65
set_global_assignment -name VHDL_FILE ../../lib/techmap/maps/ssrctrl_net.vhd -library techmap
66
set_global_assignment -name VHDL_FILE ../../lib/spw/comp/spwcomp.vhd -library spw
67
set_global_assignment -name VHDL_FILE ../../lib/spw/wrapper/grspw_gen.vhd -library spw
68
set_global_assignment -name VHDL_FILE ../../lib/eth/comp/ethcomp.vhd -library eth
69
set_global_assignment -name VHDL_FILE ../../lib/eth/core/greth_pkg.vhd -library eth
70
set_global_assignment -name VHDL_FILE ../../lib/eth/core/eth_rstgen.vhd -library eth
71
set_global_assignment -name VHDL_FILE ../../lib/eth/core/eth_ahb_mst.vhd -library eth
72
set_global_assignment -name VHDL_FILE ../../lib/eth/core/greth_tx.vhd -library eth
73
set_global_assignment -name VHDL_FILE ../../lib/eth/core/greth_rx.vhd -library eth
74
set_global_assignment -name VHDL_FILE ../../lib/eth/core/grethc.vhd -library eth
75
set_global_assignment -name VHDL_FILE ../../lib/eth/wrapper/greth_gen.vhd -library eth
76
set_global_assignment -name VHDL_FILE ../../lib/eth/wrapper/greth_gbit_gen.vhd -library eth
77
set_global_assignment -name VHDL_FILE ../../lib/opencores/occomp/occomp.vhd -library opencores
78
set_global_assignment -name VHDL_FILE ../../lib/opencores/can/cancomp.vhd -library opencores
79
set_global_assignment -name VHDL_FILE ../../lib/opencores/can/can_top.vhd -library opencores
80
set_global_assignment -name VHDL_FILE ../../lib/opencores/can/can_top_core_sync.vhd -library opencores
81
set_global_assignment -name VHDL_FILE ../../lib/opencores/i2c/i2c_master_bit_ctrl.vhd -library opencores
82
set_global_assignment -name VHDL_FILE ../../lib/opencores/i2c/i2c_master_byte_ctrl.vhd -library opencores
83
set_global_assignment -name VHDL_FILE ../../lib/opencores/i2c/i2coc.vhd -library opencores
84
set_global_assignment -name VERILOG_FILE ../../lib/opencores/spi/simple_spi_top.v -library opencores
85
set_global_assignment -name VHDL_FILE ../../lib/opencores/ata/ud_cnt.vhd -library opencores
86
set_global_assignment -name VHDL_FILE ../../lib/opencores/ata/ro_cnt.vhd -library opencores
87
set_global_assignment -name VHDL_FILE ../../lib/opencores/ata/atahost_dma_fifo.vhd -library opencores
88
set_global_assignment -name VHDL_FILE ../../lib/opencores/ata/atahost_dma_actrl.vhd -library opencores
89
set_global_assignment -name VHDL_FILE ../../lib/opencores/ata/atahost_dma_tctrl.vhd -library opencores
90
set_global_assignment -name VHDL_FILE ../../lib/opencores/ata/atahost_pio_tctrl.vhd -library opencores
91
set_global_assignment -name VHDL_FILE ../../lib/opencores/ata/atahost_pio_actrl.vhd -library opencores
92
set_global_assignment -name VHDL_FILE ../../lib/opencores/ata/atahost_controller.vhd -library opencores
93
set_global_assignment -name VHDL_FILE ../../lib/opencores/ata/atahost_pio_controller.vhd -library opencores
94
set_global_assignment -name VHDL_FILE ../../lib/opencores/ata/ocidec2_controller.vhd -library opencores
95
set_global_assignment -name VERILOG_FILE ../../lib/opencores/ac97/ac97_top.v -library opencores
96
set_global_assignment -name VHDL_FILE ../../lib/gaisler/arith/arith.vhd -library gaisler
97
set_global_assignment -name VHDL_FILE ../../lib/gaisler/arith/mul32.vhd -library gaisler
98
set_global_assignment -name VHDL_FILE ../../lib/gaisler/arith/div32.vhd -library gaisler
99
set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/memctrl.vhd -library gaisler
100
set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/sdctrl.vhd -library gaisler
101
set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/sdmctrl.vhd -library gaisler
102
set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/srctrl.vhd -library gaisler
103
set_global_assignment -name VHDL_FILE ../../lib/gaisler/memctrl/spimctrl.vhd -library gaisler
104
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/leon3.vhd -library gaisler
105
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/reg_zero.vhd -library gaisler
106
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmuconfig.vhd -library gaisler
107
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmuiface.vhd -library gaisler
108
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/libmmu.vhd -library gaisler
109
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/libiu.vhd -library gaisler
110
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/libcache.vhd -library gaisler
111
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/libproc3.vhd -library gaisler
112
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/cachemem.vhd -library gaisler
113
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmu_icache.vhd -library gaisler
114
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmu_dcache.vhd -library gaisler
115
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmu_acache.vhd -library gaisler
116
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmutlbcam.vhd -library gaisler
117
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmulrue.vhd -library gaisler
118
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmulru.vhd -library gaisler
119
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmutlb.vhd -library gaisler
120
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmutw.vhd -library gaisler
121
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmu.vhd -library gaisler
122
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mmu_cache.vhd -library gaisler
123
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/acache.vhd -library gaisler
124
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/dcache.vhd -library gaisler
125
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/icache.vhd -library gaisler
126
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/cache.vhd -library gaisler
127
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/cpu_disasx.vhd -library gaisler
128
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/grfpwx.vhd -library gaisler
129
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/mfpwx.vhd -library gaisler
130
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/grlfpwx.vhd -library gaisler
131
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/tbufmem.vhd -library gaisler
132
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/dsu3x.vhd -library gaisler
133
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/dsu3.vhd -library gaisler
134
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/proc3.vhd -library gaisler
135
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/leon3s.vhd -library gaisler
136
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/leon3cg.vhd -library gaisler
137
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/irqmp.vhd -library gaisler
138
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/grfpwxsh.vhd -library gaisler
139
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/grfpushwx.vhd -library gaisler
140
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/leon3sh.vhd -library gaisler
141
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/my_mux.vhd -library gaisler
142
set_global_assignment -name VHDL_FILE ../../lib/gaisler/leon3/top.vhd -library gaisler
143
set_global_assignment -name VHDL_FILE ../../lib/gaisler/can/can.vhd -library gaisler
144
set_global_assignment -name VHDL_FILE ../../lib/gaisler/can/can_mod.vhd -library gaisler
145
set_global_assignment -name VHDL_FILE ../../lib/gaisler/can/can_oc.vhd -library gaisler
146
set_global_assignment -name VHDL_FILE ../../lib/gaisler/can/can_mc.vhd -library gaisler
147
set_global_assignment -name VHDL_FILE ../../lib/gaisler/can/canmux.vhd -library gaisler
148
set_global_assignment -name VHDL_FILE ../../lib/gaisler/can/can_rd.vhd -library gaisler
149
set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/misc.vhd -library gaisler
150
set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/rstgen.vhd -library gaisler
151
set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/gptimer.vhd -library gaisler
152
set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbram.vhd -library gaisler
153
set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbtrace.vhd -library gaisler
154
set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbmst.vhd -library gaisler
155
set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/grgpio.vhd -library gaisler
156
set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbstat.vhd -library gaisler
157
set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/logan.vhd -library gaisler
158
set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/apbps2.vhd -library gaisler
159
set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/charrom_package.vhd -library gaisler
160
set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/charrom.vhd -library gaisler
161
set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/apbvga.vhd -library gaisler
162
set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/ahbdma.vhd -library gaisler
163
set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/svgactrl.vhd -library gaisler
164
set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/i2cmst.vhd -library gaisler
165
set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/spictrl.vhd -library gaisler
166
set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/i2cslv.vhd -library gaisler
167
set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/wild.vhd -library gaisler
168
set_global_assignment -name VHDL_FILE ../../lib/gaisler/misc/wild2ahb.vhd -library gaisler
169
set_global_assignment -name VHDL_FILE ../../lib/gaisler/net/net.vhd -library gaisler
170
set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/uart.vhd -library gaisler
171
set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/libdcom.vhd -library gaisler
172
set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/apbuart.vhd -library gaisler
173
set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/dcom.vhd -library gaisler
174
set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/dcom_uart.vhd -library gaisler
175
set_global_assignment -name VHDL_FILE ../../lib/gaisler/uart/ahbuart.vhd -library gaisler
176
set_global_assignment -name VHDL_FILE ../../lib/gaisler/jtag/jtag.vhd -library gaisler
177
set_global_assignment -name VHDL_FILE ../../lib/gaisler/jtag/libjtagcom.vhd -library gaisler
178
set_global_assignment -name VHDL_FILE ../../lib/gaisler/jtag/jtagcom.vhd -library gaisler
179
set_global_assignment -name VHDL_FILE ../../lib/gaisler/jtag/ahbjtag.vhd -library gaisler
180
set_global_assignment -name VHDL_FILE ../../lib/gaisler/jtag/ahbjtag_bsd.vhd -library gaisler
181
set_global_assignment -name VHDL_FILE ../../lib/gaisler/greth/ethernet_mac.vhd -library gaisler
182
set_global_assignment -name VHDL_FILE ../../lib/gaisler/greth/greth.vhd -library gaisler
183
set_global_assignment -name VHDL_FILE ../../lib/gaisler/greth/greth_gbit.vhd -library gaisler
184
set_global_assignment -name VHDL_FILE ../../lib/gaisler/greth/grethm.vhd -library gaisler
185
set_global_assignment -name VHDL_FILE ../../lib/gaisler/spacewire/spacewire.vhd -library gaisler
186
set_global_assignment -name VHDL_FILE ../../lib/gaisler/spacewire/grspw.vhd -library gaisler
187
set_global_assignment -name VHDL_FILE ../../lib/gaisler/spacewire/grspw2.vhd -library gaisler
188
set_global_assignment -name VHDL_FILE ../../lib/gaisler/spacewire/grspwm.vhd -library gaisler
189
set_global_assignment -name VHDL_FILE ../../lib/gaisler/usb/grusb.vhd -library gaisler
190
set_global_assignment -name VHDL_FILE ../../lib/gaisler/ata/ata.vhd -library gaisler
191
set_global_assignment -name VHDL_FILE ../../lib/gaisler/ata/ata_inf.vhd -library gaisler
192
set_global_assignment -name VHDL_FILE ../../lib/gaisler/ata/atahost_amba_slave.vhd -library gaisler
193
set_global_assignment -name VHDL_FILE ../../lib/gaisler/ata/atahost_ahbmst.vhd -library gaisler
194
set_global_assignment -name VHDL_FILE ../../lib/gaisler/ata/ocidec2_amba_slave.vhd -library gaisler
195
set_global_assignment -name VHDL_FILE ../../lib/gaisler/ata/atactrl_nodma.vhd -library gaisler
196
set_global_assignment -name VHDL_FILE ../../lib/gaisler/ata/atactrl_dma.vhd -library gaisler
197
set_global_assignment -name VHDL_FILE ../../lib/gaisler/ata/atactrl.vhd -library gaisler
198
set_global_assignment -name VERILOG_FILE ../../lib/gaisler/vlog/decode_pipe1.v -library gaisler
199
set_global_assignment -name VERILOG_FILE ../../lib/gaisler/vlog/EXEC_stage.v -library gaisler
200
set_global_assignment -name VERILOG_FILE ../../lib/gaisler/vlog/ulit.v -library gaisler
201
set_global_assignment -name VERILOG_FILE ../../lib/gaisler/vlog/ctl_fsm1.v -library gaisler
202
set_global_assignment -name VERILOG_FILE ../../lib/gaisler/vlog/RF_stage1.v -library gaisler
203
set_global_assignment -name VERILOG_FILE ../../lib/gaisler/vlog/RF_components1.v -library gaisler
204
set_global_assignment -name VERILOG_FILE ../../lib/gaisler/vlog/forward.v -library gaisler
205
set_global_assignment -name VERILOG_FILE ../../lib/gaisler/vlog/mips789_defs.v -library gaisler
206
set_global_assignment -name VERILOG_FILE ../../lib/gaisler/vlog/hazard_unit.v -library gaisler
207
set_global_assignment -name VERILOG_FILE ../../lib/gaisler/vlog/forward.v -library gaisler
208
set_global_assignment -name VERILOG_FILE ../../lib/gaisler/vlog/core1.v -library gaisler
209
set_global_assignment -name VHDL_FILE ../../lib/esa/memoryctrl/memoryctrl.vhd -library esa
210
set_global_assignment -name VHDL_FILE ../../lib/esa/memoryctrl/mctrl.vhd -library esa
211
set_global_assignment -name VHDL_FILE config.vhd
212
set_global_assignment -name VHDL_FILE ahbrom.vhd
213
set_global_assignment -name VHDL_FILE vga_clkgen.vhd
214
set_global_assignment -name VHDL_FILE leon3mp.vhd
215
 
216
set_global_assignment -name TOP_LEVEL_ENTITY "leon3mp"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.