OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [leon3mp.syr] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
Release 11.1 - xst L.33 (nt)
2
Copyright (c) 1995-2009 Xilinx, Inc.  All rights reserved.
3
--> Parameter TMPDIR set to xst/projnav.tmp
4
 
5
 
6
Total REAL time to Xst completion: 0.00 secs
7
Total CPU time to Xst completion: 0.11 secs
8
 
9
--> Parameter xsthdpdir set to xst
10
 
11
 
12
Total REAL time to Xst completion: 0.00 secs
13
Total CPU time to Xst completion: 0.11 secs
14
 
15
--> Reading design: leon3mp.prj
16
 
17
TABLE OF CONTENTS
18
  1) Synthesis Options Summary
19
  2) HDL Compilation
20
  3) Design Hierarchy Analysis
21
  4) HDL Analysis
22
  5) HDL Synthesis
23
     5.1) HDL Synthesis Report
24
  6) Advanced HDL Synthesis
25
     6.1) Advanced HDL Synthesis Report
26
  7) Low Level Synthesis
27
  8) Partition Report
28
  9) Final Report
29
        9.1) Device utilization summary
30
        9.2) Partition Resource Summary
31
        9.3) TIMING REPORT
32
 
33
 
34
=========================================================================
35
*                      Synthesis Options Summary                        *
36
=========================================================================
37
---- Source Parameters
38
Input File Name                    : "leon3mp.prj"
39
Input Format                       : mixed
40
Ignore Synthesis Constraint File   : NO
41
 
42
---- Target Parameters
43
Output File Name                   : "leon3mp"
44
Output Format                      : NGC
45
Target Device                      : xc3s1500-4-fg456
46
 
47
---- Source Options
48
Top Module Name                    : leon3mp
49
Automatic FSM Extraction           : NO
50
FSM Style                          : lut
51
RAM Extraction                     : Yes
52
RAM Style                          : Auto
53
ROM Extraction                     : Yes
54
Mux Style                          : Auto
55
Decoder Extraction                 : YES
56
Priority Encoder Extraction        : YES
57
Shift Register Extraction          : YES
58
Logical Shifter Extraction         : YES
59
XOR Collapsing                     : YES
60
ROM Style                          : Auto
61
Mux Extraction                     : YES
62
Resource Sharing                   : YES
63
Asynchronous To Synchronous        : NO
64
Automatic Register Balancing       : No
65
 
66
---- Target Options
67
Add IO Buffers                     : YES
68
Add Generic Clock Buffer(BUFG)     : 8
69
Register Duplication               : YES
70
Slice Packing                      : YES
71
Optimize Instantiated Primitives   : NO
72
Use Clock Enable                   : Yes
73
Use Synchronous Set                : Yes
74
Use Synchronous Reset              : Yes
75
Pack IO Registers into IOBs        : true
76
Equivalent register Removal        : YES
77
 
78
---- General Options
79
Optimization Goal                  : Speed
80
Optimization Effort                : 1
81
Library Search Order               : leon3mp.lso
82
Keep Hierarchy                     : NO
83
Netlist Hierarchy                  : as_optimized
84
RTL Output                         : Yes
85
Global Optimization                : AllClockNets
86
Read Cores                         : YES
87
Write Timing Constraints           : NO
88
Cross Clock Analysis               : NO
89
Hierarchy Separator                : /
90
Bus Delimiter                      : ()
91
Case Specifier                     : maintain
92
Slice Utilization Ratio            : 100
93
BRAM Utilization Ratio             : 100
94
Verilog 2001                       : YES
95
Auto BRAM Packing                  : NO
96
Slice Utilization Ratio Delta      : 5
97
 
98
=========================================================================
99
 
100
 
101
=========================================================================
102
*                          HDL Compilation                              *
103
=========================================================================
104
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/version.vhd" in Library grlib.
105
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" in Library grlib.
106
WARNING:HDLParsers:3534 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" Line 186. In the function *, not all control paths contain a return statement.
107
WARNING:HDLParsers:3534 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" Line 200. In the function signed_mul, not all control paths contain a return statement.
108
WARNING:HDLParsers:3534 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" Line 216. In the function +, not all control paths contain a return statement.
109
WARNING:HDLParsers:3534 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" Line 227. In the function +, not all control paths contain a return statement.
110
WARNING:HDLParsers:3534 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" Line 238. In the function +, not all control paths contain a return statement.
111
WARNING:HDLParsers:3534 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" Line 251. In the function +, not all control paths contain a return statement.
112
WARNING:HDLParsers:3534 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" Line 267. In the function -, not all control paths contain a return statement.
113
WARNING:HDLParsers:3534 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" Line 278. In the function -, not all control paths contain a return statement.
114
WARNING:HDLParsers:3534 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" Line 289. In the function -, not all control paths contain a return statement.
115
WARNING:HDLParsers:3534 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" Line 302. In the function -, not all control paths contain a return statement.
116
Architecture stdlib of Entity stdlib is up to date.
117
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/amba.vhd" in Library grlib.
118
Architecture amba of Entity amba is up to date.
119
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/devices.vhd" in Library grlib.
120
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/grlib/sparc/sparc.vhd" in Library grlib.
121
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/grlib/modgen/multlib.vhd" in Library grlib.
122
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/apbctrl.vhd" in Library grlib.
123
Architecture rtl of Entity apbctrl is up to date.
124
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/ahbctrl.vhd" in Library grlib.
125
Architecture rtl of Entity ahbctrl is up to date.
126
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/gencomp/gencomp.vhd" in Library techmap.
127
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/inferred/memory_inferred.vhd" in Library techmap.
128
Architecture behavioral of Entity generic_syncram is up to date.
129
Architecture behav of Entity generic_syncram_2p is up to date.
130
Architecture rtl of Entity generic_regfile_3p is up to date.
131
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/buffer_unisim.vhd" in Library techmap.
132
Architecture rtl of Entity clkbuf_xilinx is up to date.
133
Architecture rtl of Entity clkmux_xilinx is up to date.
134
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/allmem.vhd" in Library techmap.
135
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd" in Library techmap.
136
Architecture behav of Entity virtex_syncram is up to date.
137
Architecture behav of Entity virtex_syncram_dp is up to date.
138
Architecture behav of Entity virtex2_syncram is up to date.
139
Architecture behav of Entity virtex2_syncram_dp is up to date.
140
Architecture behav of Entity virtex2_syncram_2p is up to date.
141
Architecture behav of Entity virtex2_syncram64 is up to date.
142
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/allclkgen.vhd" in Library techmap.
143
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" in Library techmap.
144
Architecture struct of Entity clkgen_virtex2 is up to date.
145
Architecture rtl of Entity clkgen_virtex is up to date.
146
Architecture struct of Entity clkmul_virtex2 is up to date.
147
Architecture struct of Entity clkgen_spartan3 is up to date.
148
Architecture struct of Entity clkgen_virtex5 is up to date.
149
Architecture rtl of Entity clkand_unisim is up to date.
150
Architecture rtl of Entity clkmux_unisim is up to date.
151
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/allpads.vhd" in Library techmap.
152
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd" in Library techmap.
153
Architecture rtl of Entity virtex_inpad is up to date.
154
Architecture rtl of Entity virtex_iopad is up to date.
155
Architecture rtl of Entity virtex_outpad is up to date.
156
Architecture rtl of Entity virtex_toutpad is up to date.
157
Architecture rtl of Entity virtex_skew_outpad is up to date.
158
Architecture rtl of Entity virtex_clkpad is up to date.
159
Architecture rtl of Entity virtex_outpad_ds is up to date.
160
Architecture rtl of Entity virtex_inpad_ds is up to date.
161
Architecture rtl of Entity virtex_clkpad_ds is up to date.
162
Architecture rtl of Entity virtex4_inpad_ds is up to date.
163
Architecture rtl of Entity virtex4_clkpad_ds is up to date.
164
Architecture rtl of Entity virtex5_iopad_ds is up to date.
165
Architecture rtl of Entity virtex5_outpad_ds is up to date.
166
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/alltap.vhd" in Library techmap.
167
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/tap_unisim.vhd" in Library techmap.
168
Architecture rtl of Entity virtex_tap is up to date.
169
Architecture rtl of Entity virtex2_tap is up to date.
170
Architecture rtl of Entity spartan3_tap is up to date.
171
Architecture rtl of Entity virtex4_tap is up to date.
172
Architecture rtl of Entity virtex5_tap is up to date.
173
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/techbuf.vhd" in Library techmap.
174
Architecture rtl of Entity techbuf is up to date.
175
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/grfpw_unisim.vhd" in Library techmap.
176
Architecture rtl of Entity grfpw_unisim is up to date.
177
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/grspwc_unisim.vhd" in Library techmap.
178
Architecture rtl of Entity grspwc_unisim is up to date.
179
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram_2p.vhd" in Library techmap.
180
Architecture rtl of Entity syncram_2p is up to date.
181
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram.vhd" in Library techmap.
182
Architecture rtl of Entity syncram is up to date.
183
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram64.vhd" in Library techmap.
184
Architecture rtl of Entity syncram64 is up to date.
185
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram_dp.vhd" in Library techmap.
186
Architecture rtl of Entity syncram_dp is up to date.
187
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/regfile_3p.vhd" in Library techmap.
188
Architecture rtl of Entity regfile_3p is up to date.
189
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/grspwc_net.vhd" in Library techmap.
190
Architecture rtl of Entity grspwc_net is up to date.
191
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/grlfpw_net.vhd" in Library techmap.
192
Architecture rtl of Entity grlfpw_net is up to date.
193
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/grfpw_net.vhd" in Library techmap.
194
Architecture rtl of Entity grfpw_net is up to date.
195
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkand.vhd" in Library techmap.
196
Architecture rtl of Entity clkand is up to date.
197
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/gencomp/netcomp.vhd" in Library techmap.
198
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/tap.vhd" in Library techmap.
199
Architecture rtl of Entity tap is up to date.
200
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad_ds.vhd" in Library techmap.
201
Architecture rtl of Entity outpad_ds is up to date.
202
Architecture rtl of Entity outpad_dsv is up to date.
203
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd" in Library techmap.
204
Architecture rtl of Entity outpad is up to date.
205
Architecture rtl of Entity outpadv is up to date.
206
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/odpad.vhd" in Library techmap.
207
Architecture rtl of Entity odpad is up to date.
208
Architecture rtl of Entity odpadv is up to date.
209
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/iopad.vhd" in Library techmap.
210
Architecture rtl of Entity iopad is up to date.
211
Architecture rtl of Entity iopadv is up to date.
212
Architecture rtl of Entity iopadvv is up to date.
213
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/inpad_ds.vhd" in Library techmap.
214
Architecture rtl of Entity inpad_ds is up to date.
215
Architecture rtl of Entity inpad_dsv is up to date.
216
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/inpad.vhd" in Library techmap.
217
Architecture rtl of Entity inpad is up to date.
218
Architecture rtl of Entity inpadv is up to date.
219
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkpad.vhd" in Library techmap.
220
Architecture rtl of Entity clkpad is up to date.
221
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkgen.vhd" in Library techmap.
222
Architecture struct of Entity clkgen is up to date.
223
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmuconfig.vhd" in Library gaisler.
224
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/leon3.vhd" in Library gaisler.
225
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/arith/arith.vhd" in Library gaisler.
226
Architecture arith of Entity arith is up to date.
227
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/libiu.vhd" in Library gaisler.
228
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmuiface.vhd" in Library gaisler.
229
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/ud_cnt.vhd" in Library opencores.
230
Architecture structural of Entity ud_cnt is up to date.
231
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/libcache.vhd" in Library gaisler.
232
Architecture libcache of Entity libcache is up to date.
233
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/ro_cnt.vhd" in Library opencores.
234
Architecture structural of Entity ro_cnt is up to date.
235
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmulrue.vhd" in Library gaisler.
236
Architecture rtl of Entity mmulrue is up to date.
237
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/atahost_pio_tctrl.vhd" in Library opencores.
238
Architecture structural of Entity atahost_pio_tctrl is up to date.
239
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/libmmu.vhd" in Library gaisler.
240
Architecture libmmu of Entity libmmu is up to date.
241
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmutlbcam.vhd" in Library gaisler.
242
Architecture rtl of Entity mmutlbcam is up to date.
243
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmulru.vhd" in Library gaisler.
244
Architecture rtl of Entity mmulru is up to date.
245
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/atahost_dma_fifo.vhd" in Library opencores.
246
Architecture rtl of Entity atahost_dma_fifo is up to date.
247
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/atahost_dma_tctrl.vhd" in Library opencores.
248
Architecture structural of Entity atahost_dma_tctrl is up to date.
249
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/atahost_pio_actrl.vhd" in Library opencores.
250
Architecture structural of Entity atahost_pio_actrl is up to date.
251
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/ata.vhd" in Library gaisler.
252
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/misc.vhd" in Library gaisler.
253
Architecture misc of Entity misc is up to date.
254
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/eth/core/greth_pkg.vhd" in Library eth.
255
Architecture grethpkg of Entity grethpkg is up to date.
256
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/eth/core/eth_rstgen.vhd" in Library eth.
257
Architecture rtl of Entity eth_rstgen is up to date.
258
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmutlb.vhd" in Library gaisler.
259
Architecture rtl of Entity mmutlb is up to date.
260
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmutw.vhd" in Library gaisler.
261
Architecture rtl of Entity mmutw is up to date.
262
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/memctrl/memctrl.vhd" in Library gaisler.
263
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/atahost_pio_controller.vhd" in Library opencores.
264
Architecture structural of Entity atahost_pio_controller is up to date.
265
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/atahost_dma_actrl.vhd" in Library opencores.
266
Architecture structural of Entity atahost_dma_actrl is up to date.
267
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/ata_inf.vhd" in Library gaisler.
268
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/eth/core/greth_tx.vhd" in Library eth.
269
Architecture rtl of Entity greth_tx is up to date.
270
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/eth/core/greth_rx.vhd" in Library eth.
271
Architecture rtl of Entity greth_rx is up to date.
272
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/eth/core/eth_ahb_mst.vhd" in Library eth.
273
Architecture rtl of Entity eth_ahb_mst is up to date.
274
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/net/net.vhd" in Library gaisler.
275
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmu_icache.vhd" in Library gaisler.
276
Architecture rtl of Entity mmu_icache is up to date.
277
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmu_dcache.vhd" in Library gaisler.
278
Architecture rtl of Entity mmu_dcache is up to date.
279
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmu_acache.vhd" in Library gaisler.
280
Architecture rtl of Entity mmu_acache is up to date.
281
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmu.vhd" in Library gaisler.
282
Architecture rtl of Entity mmu is up to date.
283
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/icache.vhd" in Library gaisler.
284
Architecture rtl of Entity icache is up to date.
285
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/dcache.vhd" in Library gaisler.
286
Architecture rtl of Entity dcache is up to date.
287
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/reg_zero.vhd" in Library gaisler.
288
Architecture behavioural of Entity reg_zero is up to date.
289
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/my_mux.vhd" in Library gaisler.
290
Architecture rtl of Entity my_mux is up to date.
291
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/uart.vhd" in Library gaisler.
292
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/can/can_top.vhd" in Library opencores.
293
Architecture rtl of Entity can_acf is up to date.
294
Architecture rtl of Entity can_btl is up to date.
295
Architecture rtl of Entity can_fifo is up to date.
296
Architecture rtl of Entity can_crc is up to date.
297
Architecture rtl of Entity can_ibo is up to date.
298
Architecture rtl of Entity can_bsp is up to date.
299
Architecture rtl of Entity can_register is up to date.
300
Architecture rtl of Entity can_register_asyn is up to date.
301
Architecture rtl of Entity can_register_asyn_syn is up to date.
302
Architecture rtl of Entity can_register_syn is up to date.
303
Architecture rtl of Entity can_registers is up to date.
304
Architecture rtl of Entity can_top is up to date.
305
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/can/cancomp.vhd" in Library opencores.
306
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/ocidec2_controller.vhd" in Library opencores.
307
Architecture structural of Entity ocidec2_controller is up to date.
308
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/atahost_controller.vhd" in Library opencores.
309
Architecture structural of Entity atahost_controller is up to date.
310
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/occomp/occomp.vhd" in Library opencores.
311
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/ocidec2_amba_slave.vhd" in Library gaisler.
312
Architecture rtl of Entity ocidec2_amba_slave is up to date.
313
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/atahost_amba_slave.vhd" in Library gaisler.
314
Architecture rtl of Entity atahost_amba_slave is up to date.
315
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/ahbmst.vhd" in Library gaisler.
316
Architecture rtl of Entity ahbmst is up to date.
317
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/atahost_ahbmst.vhd" in Library gaisler.
318
Architecture rtl of Entity atahost_ahbmst is up to date.
319
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/greth/ethernet_mac.vhd" in Library gaisler.
320
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/eth/core/grethc.vhd" in Library eth.
321
Architecture rtl of Entity grethc is up to date.
322
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/eth/comp/ethcomp.vhd" in Library eth.
323
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/jtag/libjtagcom.vhd" in Library gaisler.
324
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/tbufmem.vhd" in Library gaisler.
325
Architecture rtl of Entity tbufmem is up to date.
326
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/top.vhd" in Library gaisler.
327
Architecture behavioral of Entity top is up to date.
328
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/arith/mul32.vhd" in Library gaisler.
329
Architecture rtl of Entity mul32 is up to date.
330
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/arith/div32.vhd" in Library gaisler.
331
Architecture rtl of Entity div32 is up to date.
332
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cache.vhd" in Library gaisler.
333
Architecture rtl of Entity cache is up to date.
334
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmu_cache.vhd" in Library gaisler.
335
Architecture rtl of Entity mmu_cache is up to date.
336
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/spacewire/spacewire.vhd" in Library gaisler.
337
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/spw/comp/spwcomp.vhd" in Library spw.
338
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/libdcom.vhd" in Library gaisler.
339
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/atactrl_dma.vhd" in Library gaisler.
340
Architecture rtl of Entity atactrl_dma is up to date.
341
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/atactrl_nodma.vhd" in Library gaisler.
342
Architecture rtl of Entity atactrl_nodma is up to date.
343
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/can/can.vhd" in Library gaisler.
344
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/can/can_mod.vhd" in Library gaisler.
345
Architecture rtl of Entity can_mod is up to date.
346
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/greth/greth.vhd" in Library gaisler.
347
Architecture rtl of Entity greth is up to date.
348
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/greth/greth_gbit.vhd" in Library gaisler.
349
Architecture rtl of Entity greth_gbit is up to date.
350
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/jtag/jtag.vhd" in Library gaisler.
351
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/jtag/jtagcom.vhd" in Library gaisler.
352
Architecture rtl of Entity jtagcom is up to date.
353
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/dsu3x.vhd" in Library gaisler.
354
Architecture rtl of Entity dsu3x is up to date.
355
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/libproc3.vhd" in Library gaisler.
356
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/proc3.vhd" in Library gaisler.
357
Architecture rtl of Entity proc3 is up to date.
358
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" in Library gaisler.
359
Architecture rtl of Entity cachemem is up to date.
360
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/grfpwx.vhd" in Library gaisler.
361
Architecture rtl of Entity grfpwx is up to date.
362
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mfpwx.vhd" in Library gaisler.
363
Architecture rtl of Entity mfpwx is up to date.
364
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/grlfpwx.vhd" in Library gaisler.
365
Architecture rtl of Entity grlfpwx is up to date.
366
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/charrom_package.vhd" in Library gaisler.
367
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/charrom.vhd" in Library gaisler.
368
Architecture rtl of Entity charrom is up to date.
369
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/spacewire/grspw.vhd" in Library gaisler.
370
Architecture rtl of Entity grspw is up to date.
371
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/spacewire/grspw2.vhd" in Library gaisler.
372
Architecture rtl of Entity grspw2 is up to date.
373
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/dcom_uart.vhd" in Library gaisler.
374
Architecture rtl of Entity dcom_uart is up to date.
375
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/dcom.vhd" in Library gaisler.
376
Architecture struct of Entity dcom is up to date.
377
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/memctrl/sdmctrl.vhd" in Library gaisler.
378
Architecture rtl of Entity sdmctrl is up to date.
379
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/usb/grusb.vhd" in Library gaisler.
380
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/apbuart.vhd" in Library gaisler.
381
Architecture rtl of Entity apbuart is up to date.
382
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/ahbuart.vhd" in Library gaisler.
383
Architecture struct of Entity ahbuart is up to date.
384
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/spacewire/grspwm.vhd" in Library gaisler.
385
Architecture rtl of Entity grspwm is up to date.
386
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/svgactrl.vhd" in Library gaisler.
387
Architecture rtl of Entity svgactrl is up to date.
388
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/rstgen.vhd" in Library gaisler.
389
Architecture rtl of Entity rstgen is up to date.
390
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/grgpio.vhd" in Library gaisler.
391
Architecture rtl of Entity grgpio is up to date.
392
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/gptimer.vhd" in Library gaisler.
393
Architecture rtl of Entity gptimer is up to date.
394
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/apbvga.vhd" in Library gaisler.
395
Architecture rtl of Entity apbvga is up to date.
396
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/apbps2.vhd" in Library gaisler.
397
Architecture rtl of Entity apbps2 is up to date.
398
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/ahbstat.vhd" in Library gaisler.
399
Architecture rtl of Entity ahbstat is up to date.
400
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/ahbram.vhd" in Library gaisler.
401
Architecture rtl of Entity ahbram is up to date.
402
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/leon3s.vhd" in Library gaisler.
403
Architecture rtl of Entity leon3s is up to date.
404
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/irqmp.vhd" in Library gaisler.
405
Architecture rtl of Entity irqmp is up to date.
406
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/dsu3.vhd" in Library gaisler.
407
Architecture rtl of Entity dsu3 is up to date.
408
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/jtag/ahbjtag.vhd" in Library gaisler.
409
Architecture struct of Entity ahbjtag is up to date.
410
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/greth/grethm.vhd" in Library gaisler.
411
Architecture rtl of Entity grethm is up to date.
412
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/can/can_mc.vhd" in Library gaisler.
413
Architecture rtl of Entity can_mc is up to date.
414
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/atactrl.vhd" in Library gaisler.
415
Architecture rtl of Entity atactrl is up to date.
416
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/esa/memoryctrl/memoryctrl.vhd" in Library esa.
417
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/esa/memoryctrl/mctrl.vhd" in Library esa.
418
Architecture rtl of Entity mctrl is up to date.
419
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/config.vhd" in Library work.
420
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/ahbrom.vhd" in Library work.
421
Architecture rtl of Entity ahbrom is up to date.
422
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/vga_clkgen.vhd" in Library work.
423
Architecture struct of Entity vga_clkgen is up to date.
424
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" in Library work.
425
Architecture rtl of Entity leon3mp is up to date.
426
Compiling verilog file "../../lib/gaisler/vlog/ulit.v" in library work
427
Compiling verilog include file "../../lib/gaisler/vlog/mips789_defs.v"
428
Module  compiled
429
Module  compiled
430
Module  compiled
431
Module  compiled
432
Module  compiled
433
Module  compiled
434
Module  compiled
435
Module  compiled
436
Module  compiled
437
Module  compiled
438
Module  compiled
439
Module  compiled
440
Module  compiled
441
Module  compiled
442
Module  compiled
443
Module  compiled
444
Module  compiled
445
Module  compiled
446
Module  compiled
447
Module  compiled
448
Module  compiled
449
Module  compiled
450
Module  compiled
451
Module  compiled
452
Module  compiled
453
Module  compiled
454
Module  compiled
455
Module  compiled
456
Module  compiled
457
Module  compiled
458
Module  compiled
459
Module  compiled
460
Module  compiled
461
Module  compiled
462
Module  compiled
463
Module  compiled
464
Module  compiled
465
Module  compiled
466
Module  compiled
467
Module  compiled
468
Module  compiled
469
Module  compiled
470
Module  compiled
471
Module  compiled
472
Module  compiled
473
Module  compiled
474
Module  compiled
475
Module  compiled
476
Module  compiled
477
Module  compiled
478
Module  compiled
479
Module  compiled
480
Module  compiled
481
Module  compiled
482
Module  compiled
483
Module  compiled
484
Module  compiled
485
Module  compiled
486
Module  compiled
487
Module  compiled
488
Module  compiled
489
Module  compiled
490
Module  compiled
491
Module  compiled
492
Module  compiled
493
Module  compiled
494
Module  compiled
495
Module  compiled
496
Module  compiled
497
Module  compiled
498
Module  compiled
499
Module  compiled
500
Module  compiled
501
Module  compiled
502
Module  compiled
503
Module  compiled
504
Module  compiled
505
Module  compiled
506
Module  compiled
507
Module  compiled
508
Module  compiled
509
Module  compiled
510
Module  compiled
511
Module  compiled
512
Module  compiled
513
Module  compiled
514
Module  compiled
515
Module  compiled
516
Module  compiled
517
Module  compiled
518
Module  compiled
519
Module  compiled
520
Module  compiled
521
Module  compiled
522
Module  compiled
523
Compiling verilog file "../../lib/gaisler/vlog/RF_components1.v" in library work
524
Compiling verilog include file "../../lib/gaisler/vlog/mips789_defs.v"
525
Module  compiled
526
Module  compiled
527
Module  compiled
528
Module  compiled
529
Compiling verilog file "../../lib/gaisler/vlog/forward.v" in library work
530
Compiling verilog include file "../../lib/gaisler/vlog/mips789_defs.v"
531
Module  compiled
532
Module  compiled
533
Module  compiled
534
Module  compiled
535
Module  compiled
536
Compiling verilog file "../../lib/gaisler/vlog/ctl_fsm1.v" in library work
537
Compiling verilog include file "../../lib/gaisler/vlog/mips789_defs.v"
538
Module  compiled
539
Compiling verilog file "../../lib/gaisler/vlog/RF_stage1.v" in library work
540
Compiling verilog include file "../../lib/gaisler/vlog/mips789_defs.v"
541
Module  compiled
542
Compiling verilog file "../../lib/gaisler/vlog/hazard_unit.v" in library work
543
Module  compiled
544
Compiling verilog file "../../lib/gaisler/vlog/EXEC_stage.v" in library work
545
Compiling verilog include file "../../lib/gaisler/vlog/mips789_defs.v"
546
Module  compiled
547
Module  compiled
548
Module  compiled
549
Module  compiled
550
Module  compiled
551
Module  compiled
552
Module  compiled
553
Module  compiled
554
Module  compiled
555
Compiling verilog file "../../lib/gaisler/vlog/decode_pipe1.v" in library work
556
Compiling verilog include file "../../lib/gaisler/vlog/mips789_defs.v"
557
Module  compiled
558
Module  compiled
559
Module  compiled
560
Compiling verilog file "../../lib/gaisler/vlog/core1.v" in library work
561
Compiling verilog include file "../../lib/gaisler/vlog/mips789_defs.v"
562
Module  compiled
563
Module  compiled
564
No errors in compilation
565
Analysis of file <"leon3mp.prj"> succeeded.
566
 
567
 
568
=========================================================================
569
*                     Design Hierarchy Analysis                         *
570
=========================================================================
571
Analyzing hierarchy for entity  in library  (architecture ) with generics.
572
        clktech = 11
573
        dbguart = 0
574
        disas = 0
575
        fabtech = 11
576
        memtech = 11
577
        padtech = 11
578
        pclow = 2
579
 
580
Analyzing hierarchy for entity  in library  (architecture ) with generics.
581
        arch = 0
582
        hf = 0
583
        level = 0
584
        tech = 11
585
        voltage = 3
586
 
587
Analyzing hierarchy for entity  in library  (architecture ) with generics.
588
        filter = 0
589
        level = 0
590
        strength = 0
591
        tech = 11
592
        voltage = 3
593
 
594
Analyzing hierarchy for entity  in library  (architecture ) with generics.
595
        clk2xen = 0
596
        clk_div = 5
597
        clk_mul = 4
598
        clk_odiv = 0
599
        clksel = 0
600
        freq = 50000
601
        noclkfb = 0
602
        pcidll = 0
603
        pcien = 0
604
        pcisysclk = 0
605
        sdramen = 1
606
        tech = 11
607
 
608
Analyzing hierarchy for entity  in library  (architecture ) with generics.
609
        level = 0
610
        slew = 1
611
        strength = 24
612
        tech = 11
613
        voltage = 3
614
 
615
Analyzing hierarchy for entity  in library  (architecture ) with generics.
616
        acthigh = 0
617
        scanen = 0
618
        syncrst = 0
619
 
620
Analyzing hierarchy for entity  in library  (architecture ) with generics.
621
        arbdisable = 0
622
        asserterr = 0
623
        assertwarn = 0
624
        cfgaddr = 4080
625
        cfgmask = 4080
626
        debug = 2
627
        defmast = 0
628
        devid = 0
629
        disirq = 0
630
        enbusmon = 0
631
        enebterm = 0
632
        fixbrst = 0
633
        fpnpen = 0
634
        hmstdisable = 0
635
        hslvdisable = 0
636
        icheck = 1
637
        ioaddr = 4095
638
        ioen = 0
639
        iomask = 4095
640
        mprio = 0
641
        nahbm = 3
642
        nahbs = 8
643
        rrobin = 1
644
        split = 0
645
        timeout = 0
646
 
647
Analyzing hierarchy for entity  in library  (architecture ) with generics.
648
        cached = 0
649
        cp = 0
650
        dcen = 1
651
        disas = 0
652
        dlinesize = 4
653
        dlram = 0
654
        dlramsize = 1
655
        dlramstart = 143
656
        drepl = 0
657
        dsetlock = 0
658
        dsets = 4
659
        dsetsize = 4
660
        dsnoop = 1
661
        dsu = 0
662
        dtlbnum = 2
663
        fabtech = 11
664
        fpu = 0
665
        hindex = 0
666
        icen = 1
667
        ilinesize = 8
668
        ilram = 0
669
        ilramsize = 1
670
        ilramstart = 142
671
        irepl = 0
672
        isetlock = 0
673
        isets = 1
674
        isetsize = 4
675
        itlbnum = 2
676
        lddel = 1
677
        mac = 0
678
        memtech = 11
679
        mmuen = 0
680
        notag = 0
681
        nwindows = 8
682
        nwp = 2
683
        pclow = 2
684
        pwd = 0
685
        rstaddr = 0
686
        scantest = 0
687
        smp = 0
688
        svt = 1
689
        tbuf = 0
690
        tlb_rep = 1
691
        tlb_type = 1
692
        v8 = 50
693
 
694
Analyzing hierarchy for entity  in library  (architecture ) with generics.
695
        level = 0
696
        oepol = 0
697
        slew = 0
698
        strength = 12
699
        tech = 11
700
        voltage = 3
701
 
702
Analyzing hierarchy for entity  in library  (architecture ) with generics.
703
        hindex = 1
704
        paddr = 7
705
        pindex = 7
706
        pmask = 4095
707
 
708
Analyzing hierarchy for entity  in library  (architecture ) with generics.
709
        level = 0
710
        slew = 0
711
        strength = 12
712
        tech = 11
713
        voltage = 3
714
 
715
Analyzing hierarchy for entity  in library  (architecture ) with generics.
716
        ainst = 2
717
        dinst = 3
718
        hindex = 2
719
        idcode = 9
720
        manf = 804
721
        nsync = 1
722
        part = 0
723
        scantest = 0
724
        tech = 11
725
        ver = 0
726
 
727
Analyzing hierarchy for entity  in library  (architecture ) with generics.
728
        fast = 0
729
        hindex = 0
730
        invclk = 0
731
        ioaddr = 512
732
        iomask = 3584
733
        mobile = 0
734
        oepol = 0
735
        paddr = 0
736
        pageburst = 0
737
        pindex = 0
738
        pmask = 4095
739
        ram16 = 1
740
        ram8 = 1
741
        ramaddr = 1024
742
        rammask = 3072
743
        romaddr = 0
744
        romasel = 28
745
        rommask = 3584
746
        scantest = 0
747
        sdbits = 32
748
        sden = 1
749
        sdlsb = 2
750
        sdrasel = 29
751
        sepbus = 0
752
        srbanks = 2
753
        syncrst = 0
754
        wprot = 0
755
 
756
Analyzing hierarchy for entity  in library  (architecture ) with generics.
757
        level = 0
758
        slew = 0
759
        strength = 12
760
        tech = 11
761
        voltage = 3
762
        width = 4
763
 
764
Analyzing hierarchy for entity  in library  (architecture ) with generics.
765
        level = 0
766
        slew = 0
767
        strength = 12
768
        tech = 11
769
        voltage = 3
770
        width = 2
771
 
772
Analyzing hierarchy for entity  in library  (architecture ) with generics.
773
        level = 0
774
        slew = 0
775
        strength = 12
776
        tech = 11
777
        voltage = 3
778
        width = 28
779
 
780
Analyzing hierarchy for entity  in library  (architecture ) with generics.
781
        level = 0
782
        slew = 0
783
        strength = 12
784
        tech = 11
785
        voltage = 3
786
        width = 5
787
 
788
Analyzing hierarchy for entity  in library  (architecture ) with generics.
789
        level = 0
790
        oepol = 0
791
        slew = 0
792
        strength = 12
793
        tech = 11
794
        voltage = 3
795
        width = 8
796
 
797
Analyzing hierarchy for entity  in library  (architecture ) with generics.
798
        asserterr = 0
799
        assertwarn = 0
800
        debug = 2
801
        enbusmon = 0
802
        haddr = 2048
803
        hindex = 1
804
        hmask = 4095
805
        icheck = 1
806
        nslaves = 16
807
        pslvdisable = 0
808
 
809
Analyzing hierarchy for entity  in library  (architecture ) with generics.
810
        abits = 8
811
        console = 0
812
        fifosize = 4
813
        flow = 1
814
        paddr = 1
815
        parity = 1
816
        pindex = 1
817
        pirq = 2
818
        pmask = 4095
819
 
820
Analyzing hierarchy for entity  in library  (architecture ) with generics.
821
        eirq = 0
822
        ncpu = 1
823
        paddr = 2
824
        pindex = 2
825
        pmask = 4095
826
 
827
Analyzing hierarchy for entity  in library  (architecture ) with generics.
828
        level = 0
829
        oepol = 0
830
        slew = 0
831
        strength = 12
832
        tech = 11
833
        voltage = 3
834
 
835
Analyzing hierarchy for entity  in library  (architecture ) with generics.
836
        level = 0
837
        slew = 0
838
        strength = 12
839
        tech = 11
840
        voltage = 3
841
        width = 8
842
 
843
Analyzing hierarchy for entity  in library  (architecture ) with generics.
844
        arch = 0
845
        hf = 0
846
        level = 0
847
        voltage = 3
848
 
849
Analyzing hierarchy for entity  in library  (architecture ) with generics.
850
        level = 0
851
        voltage = 3
852
 
853
Analyzing hierarchy for entity  in library  (architecture ) with generics.
854
        clk2xen = 0
855
        clk_div = 5
856
        clk_mul = 4
857
        clksel = 0
858
        freq = 50000
859
        noclkfb = 0
860
        pcidll = 0
861
        pcien = 0
862
        pcisysclk = 0
863
        sdramen = 1
864
 
865
Analyzing hierarchy for entity  in library  (architecture ) with generics.
866
        level = 0
867
        slew = 1
868
        strength = 24
869
        voltage = 3
870
 
871
Analyzing hierarchy for entity  in library  (architecture ) with generics.
872
        cached = 0
873
        clk2x = 0
874
        cp = 0
875
        dcen = 1
876
        disas = 0
877
        dlinesize = 4
878
        dlram = 0
879
        dlramsize = 1
880
        dlramstart = 143
881
        drepl = 0
882
        dsetlock = 0
883
        dsets = 4
884
        dsetsize = 4
885
        dsnoop = 1
886
        dsu = 0
887
        dtlbnum = 2
888
        fabtech = 11
889
        fpu = 0
890
        hindex = 0
891
        icen = 1
892
        ilinesize = 8
893
        ilram = 0
894
        ilramsize = 1
895
        ilramstart = 142
896
        irepl = 0
897
        isetlock = 0
898
        isets = 1
899
        isetsize = 4
900
        itlbnum = 2
901
        lddel = 1
902
        mac = 0
903
        memtech = 11
904
        mmuen = 0
905
        notag = 0
906
        nwindows = 8
907
        nwp = 2
908
        pclow = 2
909
        pwd = 0
910
        rstaddr = 0
911
        scantest = 0
912
        smp = 0
913
        svt = 1
914
        tbuf = 0
915
        tlb_rep = 1
916
        tlb_type = 1
917
        v8 = 50
918
 
919
Analyzing hierarchy for entity  in library  (architecture ) with generics.
920
        abits = 5
921
        dbits = 32
922
        numregs = 32
923
        tech = 11
924
        wrfst = 1
925
 
926
Analyzing hierarchy for entity  in library  (architecture ) with generics.
927
        dcen = 1
928
        dlinesize = 4
929
        dlram = 0
930
        dlramsize = 1
931
        drepl = 0
932
        dsetlock = 0
933
        dsets = 4
934
        dsetsize = 4
935
        dsnoop = 1
936
        icen = 1
937
        ilinesize = 8
938
        ilram = 0
939
        ilramsize = 1
940
        irepl = 0
941
        isetlock = 0
942
        isets = 1
943
        isetsize = 4
944
        mmuen = 0
945
        tech = 11
946
 
947
Analyzing hierarchy for entity  in library  (architecture ) with generics.
948
        level = 0
949
        slew = 0
950
        strength = 12
951
        voltage = 3
952
 
953
Analyzing hierarchy for entity  in library  (architecture ) with generics.
954
        chprot = 3
955
        devid = 7
956
        hindex = 1
957
        hirq = 0
958
        incaddr = 0
959
        venid = 1
960
        version = 0
961
 
962
Analyzing hierarchy for entity  in library  (architecture ) with generics.
963
        paddr = 7
964
        pindex = 7
965
        pmask = 4095
966
 
967
Analyzing hierarchy for entity  in library  (architecture ).
968
 
969
Analyzing hierarchy for entity  in library  (architecture ) with generics.
970
        level = 0
971
        slew = 0
972
        strength = 12
973
        voltage = 3
974
 
975
Analyzing hierarchy for entity  in library  (architecture ) with generics.
976
        chprot = 3
977
        devid = 28
978
        hindex = 2
979
        hirq = 0
980
        incaddr = 0
981
        venid = 1
982
        version = 0
983
 
984
Analyzing hierarchy for entity  in library  (architecture ) with generics.
985
        idcode = 9
986
        irlen = 6
987
        manf = 804
988
        part = 0
989
        scantest = 0
990
        tech = 11
991
        trsten = 1
992
        ver = 0
993
 
994
Analyzing hierarchy for entity  in library  (architecture ) with generics.
995
        ainst = 2
996
        dinst = 3
997
        isel = 1
998
        nsync = 1
999
 
1000
Analyzing hierarchy for entity  in library  (architecture ) with generics.
1001
        fast = 0
1002
        invclk = 0
1003
        mobile = 0
1004
        pageburst = 0
1005
        pindex = 0
1006
        sdbits = 32
1007
        wprot = 0
1008
 
1009
Analyzing hierarchy for entity  in library  (architecture ) with generics.
1010
        level = 0
1011
        slew = 0
1012
        strength = 12
1013
        tech = 11
1014
        voltage = 3
1015
 
1016
Analyzing hierarchy for entity  in library  (architecture ) with generics.
1017
        level = 0
1018
        slew = 0
1019
        strength = 12
1020
        tech = 11
1021
        voltage = 3
1022
 
1023
Analyzing hierarchy for entity  in library  (architecture ) with generics.
1024
        level = 0
1025
        slew = 0
1026
        strength = 12
1027
        tech = 11
1028
        voltage = 3
1029
 
1030
Analyzing hierarchy for entity  in library  (architecture ) with generics.
1031
        level = 0
1032
        slew = 0
1033
        strength = 12
1034
        tech = 11
1035
        voltage = 3
1036
 
1037
Analyzing hierarchy for entity  in library  (architecture ) with generics.
1038
        level = 0
1039
        oepol = 0
1040
        slew = 0
1041
        strength = 12
1042
        tech = 11
1043
        voltage = 3
1044
 
1045
Analyzing hierarchy for entity  in library  (architecture ) with generics.
1046
        level = 0
1047
        slew = 0
1048
        strength = 12
1049
        voltage = 3
1050
 
1051
Analyzing hierarchy for entity  in library  (architecture ).
1052
 
1053
Analyzing hierarchy for entity  in library  (architecture ) with generics.
1054
        infer = 1
1055
        mac = 0
1056
        multype = 3
1057
        pipe = 1
1058
 
1059
Analyzing hierarchy for entity  in library  (architecture ).
1060
 
1061
Analyzing hierarchy for entity  in library  (architecture ) with generics.
1062
        cached = 0
1063
        clk2x = 0
1064
        dcen = 1
1065
        dlinesize = 4
1066
        dlram = 0
1067
        dlramsize = 1
1068
        dlramstart = 143
1069
        drepl = 0
1070
        dsetlock = 0
1071
        dsets = 4
1072
        dsetsize = 4
1073
        dsnoop = 1
1074
        dsu = 0
1075
        hindex = 0
1076
        icen = 1
1077
        ilinesize = 8
1078
        ilram = 0
1079
        ilramsize = 1
1080
        ilramstart = 142
1081
        irepl = 0
1082
        isetlock = 0
1083
        isets = 1
1084
        isetsize = 4
1085
        memtech = 11
1086
        scantest = 0
1087
 
1088
Analyzing hierarchy for entity  in library  (architecture ) with generics.
1089
        abits = 5
1090
        dbits = 32
1091
        numregs = 32
1092
        tech = 11
1093
        wrfst = 1
1094
 
1095
Analyzing hierarchy for entity  in library  (architecture ) with generics.
1096
        abits = 7
1097
        dbits = 28
1098
        tech = 11
1099
 
1100
Analyzing hierarchy for entity  in library  (architecture ) with generics.
1101
        abits = 10
1102
        dbits = 32
1103
        tech = 11
1104
 
1105
Analyzing hierarchy for entity  in library  (architecture ) with generics.
1106
        abits = 8
1107
        dbits = 24
1108
        tech = 11
1109
 
1110
Analyzing hierarchy for entity  in library  (architecture ).
1111
 
1112
Analyzing hierarchy for entity  in library  (architecture ) with generics.
1113
        level = 0
1114
        slew = 0
1115
        strength = 12
1116
        voltage = 3
1117
 
1118
Analyzing hierarchy for entity  in library  (architecture ).
1119
 
1120
Analyzing hierarchy for entity  in library  (architecture ).
1121
 
1122
Analyzing hierarchy for module  in library .
1123
 
1124
Analyzing hierarchy for entity  in library  (architecture ) with generics.
1125
        icen = 1
1126
        ilinesize = 8
1127
        irepl = 0
1128
        isetlock = 0
1129
        isets = 1
1130
        isetsize = 4
1131
        lram = 0
1132
        lramsize = 1
1133
        lramstart = 142
1134
 
1135
Analyzing hierarchy for entity  in library  (architecture ) with generics.
1136
        cached = 0
1137
        dcen = 1
1138
        dlinesize = 4
1139
        dlram = 0
1140
        dlramsize = 1
1141
        dlramstart = 143
1142
        drepl = 0
1143
        dsetlock = 0
1144
        dsets = 4
1145
        dsetsize = 4
1146
        dsnoop = 1
1147
        dsu = 0
1148
        ilram = 0
1149
        ilramstart = 142
1150
        memtech = 11
1151
 
1152
Analyzing hierarchy for entity  in library  (architecture ) with generics.
1153
        cached = 0
1154
        clk2x = 0
1155
        hindex = 0
1156
        ilinesize = 8
1157
        scantest = 0
1158
 
1159
Analyzing hierarchy for entity  in library  (architecture ) with generics.
1160
        abits = 7
1161
        dbits = 28
1162
 
1163
Analyzing hierarchy for entity  in library  (architecture ) with generics.
1164
        abits = 10
1165
        dbits = 32
1166
 
1167
Analyzing hierarchy for entity  in library  (architecture ) with generics.
1168
        abits = 8
1169
        dbits = 24
1170
 
1171
Analyzing hierarchy for module  in library .
1172
 
1173
Analyzing hierarchy for module  in library .
1174
 
1175
Analyzing hierarchy for module  in library .
1176
 
1177
Analyzing hierarchy for module  in library .
1178
 
1179
Analyzing hierarchy for module  in library .
1180
 
1181
Analyzing hierarchy for module  in library .
1182
 
1183
Analyzing hierarchy for module  in library .
1184
 
1185
Analyzing hierarchy for module  in library .
1186
 
1187
Analyzing hierarchy for module  in library .
1188
 
1189
Analyzing hierarchy for module  in library .
1190
 
1191
Analyzing hierarchy for module  in library .
1192
 
1193
Analyzing hierarchy for module  in library .
1194
 
1195
Analyzing hierarchy for module  in library .
1196
 
1197
Analyzing hierarchy for module  in library  with parameters.
1198
        ID_CUR = "00000000000000000000000000000001"
1199
        ID_LD = "00000000000000000000000000000101"
1200
        ID_MUL = "00000000000000000000000000000010"
1201
        ID_NOI = "00000000000000000000000000000110"
1202
        ID_RET = "00000000000000000000000000000100"
1203
        PC_IGN = "00000000000000000000000000000001"
1204
        PC_IRQ = "00000000000000000000000000000100"
1205
        PC_KEP = "00000000000000000000000000000010"
1206
        PC_RST = "00000000000000000000000000001000"
1207
 
1208
Analyzing hierarchy for module  in library .
1209
 
1210
Analyzing hierarchy for module  in library .
1211
 
1212
Analyzing hierarchy for module  in library .
1213
 
1214
Analyzing hierarchy for module  in library .
1215
 
1216
Analyzing hierarchy for module  in library .
1217
 
1218
Analyzing hierarchy for module  in library .
1219
 
1220
Analyzing hierarchy for module  in library .
1221
 
1222
Analyzing hierarchy for module  in library .
1223
 
1224
Analyzing hierarchy for module  in library .
1225
 
1226
Analyzing hierarchy for module  in library .
1227
 
1228
Analyzing hierarchy for module  in library .
1229
 
1230
Analyzing hierarchy for module  in library .
1231
 
1232
Analyzing hierarchy for module  in library .
1233
 
1234
Analyzing hierarchy for module  in library .
1235
 
1236
Analyzing hierarchy for module  in library .
1237
 
1238
Analyzing hierarchy for module  in library .
1239
 
1240
Analyzing hierarchy for module  in library .
1241
 
1242
Analyzing hierarchy for module  in library .
1243
 
1244
Analyzing hierarchy for module  in library  with parameters.
1245
        OP_DIV = "00000000000000000000000000001011"
1246
        OP_DIVU = "00000000000000000000000000001010"
1247
        OP_MFHI = "00000000000000000000000000000110"
1248
        OP_MFLO = "00000000000000000000000000000111"
1249
        OP_MTHI = "00000000000000000000000000011111"
1250
        OP_MTLO = "00000000000000000000000000011110"
1251
        OP_MULT = "00000000000000000000000000001001"
1252
        OP_MULTU = "00000000000000000000000000001000"
1253
        OP_NONE = "00000000000000000000000000000000"
1254
 
1255
Analyzing hierarchy for module  in library .
1256
 
1257
Analyzing hierarchy for module  in library .
1258
 
1259
Analyzing hierarchy for module  in library .
1260
 
1261
Analyzing hierarchy for module  in library .
1262
 
1263
Analyzing hierarchy for module  in library .
1264
 
1265
Analyzing hierarchy for module  in library .
1266
 
1267
Analyzing hierarchy for module  in library .
1268
 
1269
Analyzing hierarchy for module  in library .
1270
 
1271
Analyzing hierarchy for module  in library .
1272
 
1273
Analyzing hierarchy for module  in library .
1274
 
1275
Analyzing hierarchy for module  in library .
1276
 
1277
Analyzing hierarchy for module  in library .
1278
 
1279
Analyzing hierarchy for module  in library .
1280
 
1281
Analyzing hierarchy for module  in library .
1282
 
1283
Analyzing hierarchy for module  in library .
1284
 
1285
Analyzing hierarchy for module  in library .
1286
 
1287
Analyzing hierarchy for module  in library .
1288
 
1289
Analyzing hierarchy for module  in library .
1290
 
1291
Analyzing hierarchy for module  in library .
1292
 
1293
Analyzing hierarchy for module  in library .
1294
 
1295
Analyzing hierarchy for module  in library .
1296
 
1297
Analyzing hierarchy for module  in library .
1298
 
1299
Analyzing hierarchy for module  in library .
1300
 
1301
WARNING:Xst:2591 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 586: attribute on instance  overrides generic/parameter on component. It is possible that simulator will not take this attribute into account.
1302
 
1303
=========================================================================
1304
*                            HDL Analysis                               *
1305
=========================================================================
1306
Analyzing generic Entity  in library  (Architecture ).
1307
        clktech = 11
1308
        dbguart = 0
1309
        disas = 0
1310
        fabtech = 11
1311
        memtech = 11
1312
        padtech = 11
1313
        pclow = 2
1314
WARNING:Xst:37 - Detected unknown constraint/property "syn_netlist_hierarchy". This constraint/property is not supported by the current software release and will be ignored.
1315
    Set property "syn_keep = TRUE" for signal .
1316
    Set property "syn_preserve = TRUE" for signal .
1317
    Set user-defined property "KEEP =  TRUE" for signal  (previous value was "KEEP soft").
1318
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 263: Unconnected input port 'rstn' of component 'clkpad' is tied to default value.
1319
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 263: Unconnected output port 'lock' of component 'clkpad'.
1320
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 265: Unconnected input port 'rstn' of component 'clkpad' is tied to default value.
1321
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 265: Unconnected output port 'lock' of component 'clkpad'.
1322
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 266: Unconnected output port 'clkn' of component 'clkgen'.
1323
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 266: Unconnected output port 'clk2x' of component 'clkgen'.
1324
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 266: Unconnected output port 'pciclk' of component 'clkgen'.
1325
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 266: Unconnected output port 'clk4x' of component 'clkgen'.
1326
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 266: Unconnected output port 'clk2xu' of component 'clkgen'.
1327
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 275: Unconnected input port 'testrst' of component 'rstgen' is tied to default value.
1328
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 275: Unconnected input port 'testen' of component 'rstgen' is tied to default value.
1329
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 282: Unconnected input port 'testen' of component 'ahbctrl' is tied to default value.
1330
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 282: Unconnected input port 'testrst' of component 'ahbctrl' is tied to default value.
1331
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 282: Unconnected input port 'scanen' of component 'ahbctrl' is tied to default value.
1332
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 282: Unconnected input port 'testoen' of component 'ahbctrl' is tied to default value.
1333
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 331: Unconnected output port 'tapo_tck' of component 'ahbjtag'.
1334
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 331: Unconnected output port 'tapo_tdi' of component 'ahbjtag'.
1335
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 331: Unconnected output port 'tapo_inst' of component 'ahbjtag'.
1336
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 331: Unconnected output port 'tapo_rst' of component 'ahbjtag'.
1337
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 331: Unconnected output port 'tapo_capt' of component 'ahbjtag'.
1338
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 331: Unconnected output port 'tapo_shft' of component 'ahbjtag'.
1339
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 331: Unconnected output port 'tapo_upd' of component 'ahbjtag'.
1340
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 331: Unconnected input port 'trst' of component 'ahbjtag' is tied to default value.
1341
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 331: Unconnected output port 'tdoen' of component 'ahbjtag'.
1342
Entity  analyzed. Unit  generated.
1343
 
1344
Analyzing generic Entity  in library  (Architecture ).
1345
        arch = 0
1346
        hf = 0
1347
        level = 0
1348
        tech = 11
1349
        voltage = 3
1350
Entity  analyzed. Unit  generated.
1351
 
1352
Analyzing generic Entity  in library  (Architecture ).
1353
        arch = 0
1354
        hf = 0
1355
        level = 0
1356
        voltage = 3
1357
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd" line 450: Instantiating black box module .
1358
    Set user-defined property "CAPACITANCE =  DONT_CARE" for instance  in unit .
1359
    Set user-defined property "IOSTANDARD =  LVTTL" for instance  in unit .
1360
Entity  analyzed. Unit  generated.
1361
 
1362
Analyzing generic Entity  in library  (Architecture ).
1363
        filter = 0
1364
        level = 0
1365
        strength = 0
1366
        tech = 11
1367
        voltage = 3
1368
Entity  analyzed. Unit  generated.
1369
 
1370
Analyzing generic Entity  in library  (Architecture ).
1371
        level = 0
1372
        voltage = 3
1373
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd" line 52: Instantiating black box module .
1374
    Set user-defined property "CAPACITANCE =  DONT_CARE" for instance  in unit .
1375
    Set user-defined property "IOSTANDARD =  LVTTL" for instance  in unit .
1376
Entity  analyzed. Unit  generated.
1377
 
1378
Analyzing generic Entity  in library  (Architecture ).
1379
        clk2xen = 0
1380
        clk_div = 5
1381
        clk_mul = 4
1382
        clk_odiv = 0
1383
        clksel = 0
1384
        freq = 50000
1385
        noclkfb = 0
1386
        pcidll = 0
1387
        pcien = 0
1388
        pcisysclk = 0
1389
        sdramen = 1
1390
        tech = 11
1391
Entity  analyzed. Unit  generated.
1392
 
1393
Analyzing generic Entity  in library  (Architecture ).
1394
        clk2xen = 0
1395
        clk_div = 5
1396
        clk_mul = 4
1397
        clksel = 0
1398
        freq = 50000
1399
        noclkfb = 0
1400
        pcidll = 0
1401
        pcien = 0
1402
        pcisysclk = 0
1403
        sdramen = 1
1404
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 574: Instantiating black box module .
1405
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 575: Instantiating black box module .
1406
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 586: Unconnected output port 'CLK90' of component 'DCM'.
1407
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 586: Unconnected output port 'CLK180' of component 'DCM'.
1408
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 586: Unconnected output port 'CLK270' of component 'DCM'.
1409
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 586: Unconnected output port 'CLK2X180' of component 'DCM'.
1410
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 586: Unconnected output port 'CLKDV' of component 'DCM'.
1411
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 586: Unconnected output port 'PSDONE' of component 'DCM'.
1412
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 586: Unconnected output port 'STATUS' of component 'DCM'.
1413
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 586: Instantiating black box module .
1414
    Set user-defined property "CLKDV_DIVIDE =  2.0000000000000000" for instance  in unit .
1415
    Set user-defined property "CLKFX_DIVIDE =  5" for instance  in unit .
1416
    Set user-defined property "CLKFX_MULTIPLY =  4" for instance  in unit .
1417
    Set user-defined property "CLKIN_DIVIDE_BY_2 =  FALSE" for instance  in unit .
1418
    Set user-defined property "CLKIN_PERIOD =  20.0000000000000000" for instance  in unit .
1419
    Set user-defined property "CLKOUT_PHASE_SHIFT =  NONE" for instance  in unit .
1420
    Set user-defined property "CLK_FEEDBACK =  2X" for instance  in unit .
1421
    Set user-defined property "DESKEW_ADJUST =  SYSTEM_SYNCHRONOUS" for instance  in unit .
1422
    Set user-defined property "DFS_FREQUENCY_MODE =  LOW" for instance  in unit .
1423
    Set user-defined property "DLL_FREQUENCY_MODE =  LOW" for instance  in unit .
1424
    Set user-defined property "DSS_MODE =  NONE" for instance  in unit .
1425
    Set user-defined property "DUTY_CYCLE_CORRECTION =  TRUE" for instance  in unit .
1426
    Set user-defined property "FACTORY_JF =  C080" for instance  in unit .
1427
    Set user-defined property "PHASE_SHIFT =  0" for instance  in unit .
1428
    Set user-defined property "STARTUP_WAIT =  FALSE" for instance  in unit .
1429
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLK90' of component 'DCM'.
1430
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLK180' of component 'DCM'.
1431
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLK270' of component 'DCM'.
1432
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLK2X' of component 'DCM'.
1433
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLK2X180' of component 'DCM'.
1434
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLKDV' of component 'DCM'.
1435
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLKFX' of component 'DCM'.
1436
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLKFX180' of component 'DCM'.
1437
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'PSDONE' of component 'DCM'.
1438
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'STATUS' of component 'DCM'.
1439
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Instantiating black box module .
1440
    Set user-defined property "CLKDV_DIVIDE =  2.0000000000000000" for instance  in unit .
1441
    Set user-defined property "CLKFX_DIVIDE =  2" for instance  in unit .
1442
    Set user-defined property "CLKFX_MULTIPLY =  2" for instance  in unit .
1443
    Set user-defined property "CLKIN_DIVIDE_BY_2 =  FALSE" for instance  in unit .
1444
    Set user-defined property "CLKIN_PERIOD =  10.0000000000000000" for instance  in unit .
1445
    Set user-defined property "CLKOUT_PHASE_SHIFT =  NONE" for instance  in unit .
1446
    Set user-defined property "CLK_FEEDBACK =  1X" for instance  in unit .
1447
    Set user-defined property "DESKEW_ADJUST =  SYSTEM_SYNCHRONOUS" for instance  in unit .
1448
    Set user-defined property "DFS_FREQUENCY_MODE =  LOW" for instance  in unit .
1449
    Set user-defined property "DLL_FREQUENCY_MODE =  LOW" for instance  in unit .
1450
    Set user-defined property "DSS_MODE =  NONE" for instance  in unit .
1451
    Set user-defined property "DUTY_CYCLE_CORRECTION =  TRUE" for instance  in unit .
1452
    Set user-defined property "FACTORY_JF =  C080" for instance  in unit .
1453
    Set user-defined property "PHASE_SHIFT =  0" for instance  in unit .
1454
    Set user-defined property "STARTUP_WAIT =  FALSE" for instance  in unit .
1455
Entity  analyzed. Unit  generated.
1456
 
1457
Analyzing generic Entity  in library  (Architecture ).
1458
        level = 0
1459
        slew = 1
1460
        strength = 24
1461
        tech = 11
1462
        voltage = 3
1463
Entity  analyzed. Unit  generated.
1464
 
1465
Analyzing generic Entity  in library  (Architecture ).
1466
        level = 0
1467
        slew = 1
1468
        strength = 24
1469
        voltage = 3
1470
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd" line 182: Instantiating black box module .
1471
    Set user-defined property "CAPACITANCE =  DONT_CARE" for instance  in unit .
1472
    Set user-defined property "DRIVE =  24" for instance  in unit .
1473
    Set user-defined property "IOSTANDARD =  LVTTL" for instance  in unit .
1474
    Set user-defined property "SLEW =  FAST" for instance  in unit .
1475
Entity  analyzed. Unit  generated.
1476
 
1477
Analyzing generic Entity  in library  (Architecture ).
1478
        acthigh = 0
1479
        scanen = 0
1480
        syncrst = 0
1481
Entity  analyzed. Unit  generated.
1482
 
1483
Analyzing generic Entity  in library  (Architecture ).
1484
        arbdisable = 0
1485
        asserterr = 0
1486
        assertwarn = 0
1487
        cfgaddr = 4080
1488
        cfgmask = 4080
1489
        debug = 2
1490
        defmast = 0
1491
        devid = 0
1492
        disirq = 0
1493
        enbusmon = 0
1494
        enebterm = 0
1495
        fixbrst = 0
1496
        fpnpen = 0
1497
        hmstdisable = 0
1498
        hslvdisable = 0
1499
        icheck = 1
1500
        ioaddr = 4095
1501
        ioen = 0
1502
        iomask = 4095
1503
        mprio = 0
1504
        nahbm = 3
1505
        nahbs = 8
1506
        rrobin = 1
1507
        split = 0
1508
        timeout = 0
1509
WARNING:Xst:790 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/ahbctrl.vhd" line 160: Index value(s) does not match array range, simulation mismatch.
1510
WARNING:Xst:790 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/ahbctrl.vhd" line 486: Index value(s) does not match array range, simulation mismatch.
1511
WARNING:Xst:790 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/ahbctrl.vhd" line 495: Index value(s) does not match array range, simulation mismatch.
1512
WARNING:Xst:790 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/ahbctrl.vhd" line 534: Index value(s) does not match array range, simulation mismatch.
1513
WARNING:Xst:790 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/ahbctrl.vhd" line 557: Index value(s) does not match array range, simulation mismatch.
1514
WARNING:Xst:790 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/ahbctrl.vhd" line 571: Index value(s) does not match array range, simulation mismatch.
1515
WARNING:Xst:819 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/ahbctrl.vhd" line 338: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
1516
   , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , ,
1517
   , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , ,
1518
   , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , ,
1519
   , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , 
1520
INFO:Xst:2679 - Register  in unit  has a constant value of 0 during circuit operation. The register is replaced by logic.
1521
Entity  analyzed. Unit  generated.
1522
 
1523
Analyzing generic Entity  in library  (Architecture ).
1524
        cached = 0
1525
        cp = 0
1526
        dcen = 1
1527
        disas = 0
1528
        dlinesize = 4
1529
        dlram = 0
1530
        dlramsize = 1
1531
        dlramstart = 143
1532
        drepl = 0
1533
        dsetlock = 0
1534
        dsets = 4
1535
        dsetsize = 4
1536
        dsnoop = 1
1537
        dsu = 0
1538
        dtlbnum = 2
1539
        fabtech = 11
1540
        fpu = 0
1541
        hindex = 0
1542
        icen = 1
1543
        ilinesize = 8
1544
        ilram = 0
1545
        ilramsize = 1
1546
        ilramstart = 142
1547
        irepl = 0
1548
        isetlock = 0
1549
        isets = 1
1550
        isetsize = 4
1551
        itlbnum = 2
1552
        lddel = 1
1553
        mac = 0
1554
        memtech = 11
1555
        mmuen = 0
1556
        notag = 0
1557
        nwindows = 8
1558
        nwp = 2
1559
        pclow = 2
1560
        pwd = 0
1561
        rstaddr = 0
1562
        scantest = 0
1563
        smp = 0
1564
        svt = 1
1565
        tbuf = 0
1566
        tlb_rep = 1
1567
        tlb_type = 1
1568
        v8 = 50
1569
WARNING:Xst:37 - Detected unknown constraint/property "sync_set_reset". This constraint/property is not supported by the current software release and will be ignored.
1570
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/leon3s.vhd" line 142: Unconnected output port 'iack_o' of component 'proc3'.
1571
Entity  analyzed. Unit  generated.
1572
 
1573
Analyzing generic Entity  in library  (Architecture ).
1574
        cached = 0
1575
        clk2x = 0
1576
        cp = 0
1577
        dcen = 1
1578
        disas = 0
1579
        dlinesize = 4
1580
        dlram = 0
1581
        dlramsize = 1
1582
        dlramstart = 143
1583
        drepl = 0
1584
        dsetlock = 0
1585
        dsets = 4
1586
        dsetsize = 4
1587
        dsnoop = 1
1588
        dsu = 0
1589
        dtlbnum = 2
1590
        fabtech = 11
1591
        fpu = 0
1592
        hindex = 0
1593
        icen = 1
1594
        ilinesize = 8
1595
        ilram = 0
1596
        ilramsize = 1
1597
        ilramstart = 142
1598
        irepl = 0
1599
        isetlock = 0
1600
        isets = 1
1601
        isetsize = 4
1602
        itlbnum = 2
1603
        lddel = 1
1604
        mac = 0
1605
        memtech = 11
1606
        mmuen = 0
1607
        notag = 0
1608
        nwindows = 8
1609
        nwp = 2
1610
        pclow = 2
1611
        pwd = 0
1612
        rstaddr = 0
1613
        scantest = 0
1614
        smp = 0
1615
        svt = 1
1616
        tbuf = 0
1617
        tlb_rep = 1
1618
        tlb_type = 1
1619
        v8 = 50
1620
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/proc3.vhd" line 150: Unconnected output port 'asi' of component 'top'.
1621
Entity  analyzed. Unit  generated.
1622
 
1623
Analyzing Entity  in library  (Architecture ).
1624
Entity  analyzed. Unit  generated.
1625
 
1626
Analyzing Entity  in library  (Architecture ).
1627
WARNING:Xst:819 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/reg_zero.vhd" line 21: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
1628
   
1629
Entity  analyzed. Unit  generated.
1630
 
1631
Analyzing Entity  in library  (Architecture ).
1632
Entity  analyzed. Unit  generated.
1633
 
1634
Analyzing module  in library .
1635
Module  is correct for synthesis.
1636
 
1637
Analyzing module  in library .
1638
Module  is correct for synthesis.
1639
 
1640
Analyzing module  in library .
1641
Module  is correct for synthesis.
1642
 
1643
Analyzing module  in library .
1644
        ID_CUR = 32'sb00000000000000000000000000000001
1645
        ID_LD = 32'sb00000000000000000000000000000101
1646
        ID_MUL = 32'sb00000000000000000000000000000010
1647
        ID_NOI = 32'sb00000000000000000000000000000110
1648
        ID_RET = 32'sb00000000000000000000000000000100
1649
        PC_IGN = 32'sb00000000000000000000000000000001
1650
        PC_IRQ = 32'sb00000000000000000000000000000100
1651
        PC_KEP = 32'sb00000000000000000000000000000010
1652
        PC_RST = 32'sb00000000000000000000000000001000
1653
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 47: Size mismatch between case item and case selector.
1654
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 48: Size mismatch between case item and case selector.
1655
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 59: Size mismatch between case item and case selector.
1656
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 72: Size mismatch between case item and case selector.
1657
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 83: Size mismatch between case item and case selector.
1658
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 92: Size mismatch between case item and case selector.
1659
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 93: Size mismatch between case item and case selector.
1660
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 94: Size mismatch between case item and case selector.
1661
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 95: Size mismatch between case item and case selector.
1662
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 96: Size mismatch between case item and case selector.
1663
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 97: Size mismatch between case item and case selector.
1664
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 105: Size mismatch between case item and case selector.
1665
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 112: Size mismatch between case item and case selector.
1666
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 120: Size mismatch between case item and case selector.
1667
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 128: Size mismatch between case item and case selector.
1668
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 135: Size mismatch between case item and case selector.
1669
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 143: Size mismatch between case item and case selector.
1670
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 151: Size mismatch between case item and case selector.
1671
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 159: Size mismatch between case item and case selector.
1672
Module  is correct for synthesis.
1673
 
1674
Analyzing module  in library .
1675
Module  is correct for synthesis.
1676
 
1677
Analyzing module  in library .
1678
Module  is correct for synthesis.
1679
 
1680
Analyzing module  in library .
1681
Module  is correct for synthesis.
1682
 
1683
Analyzing module  in library .
1684
Module  is correct for synthesis.
1685
 
1686
Analyzing module  in library .
1687
Module  is correct for synthesis.
1688
 
1689
Analyzing module  in library .
1690
Module  is correct for synthesis.
1691
 
1692
Analyzing module  in library .
1693
Module  is correct for synthesis.
1694
 
1695
Analyzing module  in library .
1696
Module  is correct for synthesis.
1697
 
1698
Analyzing module  in library .
1699
Module  is correct for synthesis.
1700
 
1701
Analyzing module  in library .
1702
        OP_DIV = 32'sb00000000000000000000000000001011
1703
        OP_DIVU = 32'sb00000000000000000000000000001010
1704
        OP_MFHI = 32'sb00000000000000000000000000000110
1705
        OP_MFLO = 32'sb00000000000000000000000000000111
1706
        OP_MTHI = 32'sb00000000000000000000000000011111
1707
        OP_MTLO = 32'sb00000000000000000000000000011110
1708
        OP_MULT = 32'sb00000000000000000000000000001001
1709
        OP_MULTU = 32'sb00000000000000000000000000001000
1710
        OP_NONE = 32'sb00000000000000000000000000000000
1711
"../../lib/gaisler/vlog/EXEC_stage.v" line 734: Found Parallel Case directive in module .
1712
Module  is correct for synthesis.
1713
 
1714
Analyzing module  in library .
1715
Module  is correct for synthesis.
1716
 
1717
Analyzing module  in library .
1718
Module  is correct for synthesis.
1719
 
1720
Analyzing module  in library .
1721
Module  is correct for synthesis.
1722
 
1723
Analyzing module  in library .
1724
Module  is correct for synthesis.
1725
 
1726
Analyzing module  in library .
1727
Module  is correct for synthesis.
1728
 
1729
Analyzing module  in library .
1730
Module  is correct for synthesis.
1731
 
1732
Analyzing module  in library .
1733
Module  is correct for synthesis.
1734
 
1735
Analyzing module  in library .
1736
Module  is correct for synthesis.
1737
 
1738
Analyzing module  in library .
1739
Module  is correct for synthesis.
1740
 
1741
Analyzing module  in library .
1742
Module  is correct for synthesis.
1743
 
1744
Analyzing module  in library .
1745
"../../lib/gaisler/vlog/decode_pipe1.v" line 97: Found Parallel Case directive in module .
1746
"../../lib/gaisler/vlog/decode_pipe1.v" line 740: Found Parallel Case directive in module .
1747
"../../lib/gaisler/vlog/decode_pipe1.v" line 94: Found Parallel Case directive in module .
1748
Module  is correct for synthesis.
1749
 
1750
Analyzing module  in library .
1751
Module  is correct for synthesis.
1752
 
1753
Analyzing module  in library .
1754
Module  is correct for synthesis.
1755
 
1756
Analyzing module  in library .
1757
Module  is correct for synthesis.
1758
 
1759
Analyzing module  in library .
1760
Module  is correct for synthesis.
1761
 
1762
Analyzing module  in library .
1763
Module  is correct for synthesis.
1764
 
1765
Analyzing module  in library .
1766
Module  is correct for synthesis.
1767
 
1768
Analyzing module  in library .
1769
Module  is correct for synthesis.
1770
 
1771
Analyzing module  in library .
1772
Module  is correct for synthesis.
1773
 
1774
Analyzing module  in library .
1775
Module  is correct for synthesis.
1776
 
1777
Analyzing module  in library .
1778
Module  is correct for synthesis.
1779
 
1780
Analyzing module  in library .
1781
Module  is correct for synthesis.
1782
 
1783
Analyzing module  in library .
1784
Module  is correct for synthesis.
1785
 
1786
Analyzing module  in library .
1787
Module  is correct for synthesis.
1788
 
1789
Analyzing module  in library .
1790
Module  is correct for synthesis.
1791
 
1792
Analyzing module  in library .
1793
Module  is correct for synthesis.
1794
 
1795
Analyzing module  in library .
1796
Module  is correct for synthesis.
1797
 
1798
Analyzing module  in library .
1799
Module  is correct for synthesis.
1800
 
1801
Analyzing module  in library .
1802
Module  is correct for synthesis.
1803
 
1804
Analyzing module  in library .
1805
Module  is correct for synthesis.
1806
 
1807
Analyzing module  in library .
1808
Module  is correct for synthesis.
1809
 
1810
Analyzing module  in library .
1811
Module  is correct for synthesis.
1812
 
1813
Analyzing module  in library .
1814
Module  is correct for synthesis.
1815
 
1816
Analyzing module  in library .
1817
Module  is correct for synthesis.
1818
 
1819
Analyzing module  in library .
1820
Module  is correct for synthesis.
1821
 
1822
Analyzing module  in library .
1823
Module  is correct for synthesis.
1824
 
1825
Analyzing module  in library .
1826
Module  is correct for synthesis.
1827
 
1828
Analyzing module  in library .
1829
Module  is correct for synthesis.
1830
 
1831
Analyzing module  in library .
1832
Module  is correct for synthesis.
1833
 
1834
Analyzing module  in library .
1835
Module  is correct for synthesis.
1836
 
1837
Analyzing module  in library .
1838
Module  is correct for synthesis.
1839
 
1840
Analyzing module  in library .
1841
Module  is correct for synthesis.
1842
 
1843
Analyzing generic Entity  in library  (Architecture ).
1844
        infer = 1
1845
        mac = 0
1846
        multype = 3
1847
        pipe = 1
1848
INFO:Xst:2679 - Register  in unit  has a constant value of 0 during circuit operation. The register is replaced by logic.
1849
Entity  analyzed. Unit  generated.
1850
 
1851
Analyzing Entity  in library  (Architecture ).
1852
Entity  analyzed. Unit  generated.
1853
 
1854
Analyzing generic Entity  in library  (Architecture ).
1855
        cached = 0
1856
        clk2x = 0
1857
        dcen = 1
1858
        dlinesize = 4
1859
        dlram = 0
1860
        dlramsize = 1
1861
        dlramstart = 143
1862
        drepl = 0
1863
        dsetlock = 0
1864
        dsets = 4
1865
        dsetsize = 4
1866
        dsnoop = 1
1867
        dsu = 0
1868
        hindex = 0
1869
        icen = 1
1870
        ilinesize = 8
1871
        ilram = 0
1872
        ilramsize = 1
1873
        ilramstart = 142
1874
        irepl = 0
1875
        isetlock = 0
1876
        isets = 1
1877
        isetsize = 4
1878
        memtech = 11
1879
        scantest = 0
1880
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cache.vhd" line 112: Unconnected output port 'mcmmo' of component 'mmu_acache'.
1881
Entity  analyzed. Unit  generated.
1882
 
1883
Analyzing generic Entity  in library  (Architecture ).
1884
        icen = 1
1885
        ilinesize = 8
1886
        irepl = 0
1887
        isetlock = 0
1888
        isets = 1
1889
        isetsize = 4
1890
        lram = 0
1891
        lramsize = 1
1892
        lramstart = 142
1893
WARNING:Xst:819 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/icache.vhd" line 186: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
1894
   , , , , , , , , 
1895
Entity  analyzed. Unit  generated.
1896
 
1897
Analyzing generic Entity  in library  (Architecture ).
1898
        cached = 0
1899
        dcen = 1
1900
        dlinesize = 4
1901
        dlram = 0
1902
        dlramsize = 1
1903
        dlramstart = 143
1904
        drepl = 0
1905
        dsetlock = 0
1906
        dsets = 4
1907
        dsetsize = 4
1908
        dsnoop = 1
1909
        dsu = 0
1910
        ilram = 0
1911
        ilramstart = 142
1912
        memtech = 11
1913
WARNING:Xst:819 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/dcache.vhd" line 232: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
1914
   , , , , , , , , , , , , 
1915
INFO:Xst:2679 - Register  in unit  has a constant value of 0 during circuit operation. The register is replaced by logic.
1916
Entity  analyzed. Unit  generated.
1917
 
1918
Analyzing generic Entity  in library  (Architecture ).
1919
        cached = 0
1920
        clk2x = 0
1921
        hindex = 0
1922
        ilinesize = 8
1923
        scantest = 0
1924
WARNING:Xst:819 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmu_acache.vhd" line 100: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
1925
   , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , ,
1926
   , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , ,
1927
   , , , , , , , , , , , , , , , , , , , , , , 
1928
Entity  analyzed. Unit  generated.
1929
 
1930
Analyzing generic Entity  in library  (Architecture ).
1931
        abits = 5
1932
        dbits = 32
1933
        numregs = 32
1934
        tech = 11
1935
        wrfst = 1
1936
Entity  analyzed. Unit  generated.
1937
 
1938
Analyzing generic Entity  in library  (Architecture ).
1939
        abits = 5
1940
        dbits = 32
1941
        numregs = 32
1942
        tech = 11
1943
        wrfst = 1
1944
Entity  analyzed. Unit  generated.
1945
 
1946
Analyzing generic Entity  in library  (Architecture ).
1947
        dcen = 1
1948
        dlinesize = 4
1949
        dlram = 0
1950
        dlramsize = 1
1951
        drepl = 0
1952
        dsetlock = 0
1953
        dsets = 4
1954
        dsetsize = 4
1955
        dsnoop = 1
1956
        icen = 1
1957
        ilinesize = 8
1958
        ilram = 0
1959
        ilramsize = 1
1960
        irepl = 0
1961
        isetlock = 0
1962
        isets = 1
1963
        isetsize = 4
1964
        mmuen = 0
1965
        tech = 11
1966
WARNING:Xst:819 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 163: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
1967
   , , , , , 
1968
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 245: Unconnected input port 'testin' of component 'syncram' is tied to default value.
1969
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 247: Unconnected input port 'testin' of component 'syncram' is tied to default value.
1970
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 283: Unconnected input port 'testin' of component 'syncram_dp' is tied to default value.
1971
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 283: Unconnected input port 'testin' of component 'syncram_dp' is tied to default value.
1972
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 283: Unconnected input port 'testin' of component 'syncram_dp' is tied to default value.
1973
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 283: Unconnected input port 'testin' of component 'syncram_dp' is tied to default value.
1974
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 316: Unconnected input port 'testin' of component 'syncram' is tied to default value.
1975
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 316: Unconnected input port 'testin' of component 'syncram' is tied to default value.
1976
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 316: Unconnected input port 'testin' of component 'syncram' is tied to default value.
1977
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 316: Unconnected input port 'testin' of component 'syncram' is tied to default value.
1978
Entity  analyzed. Unit  generated.
1979
 
1980
Analyzing generic Entity  in library  (Architecture ).
1981
        abits = 7
1982
        dbits = 28
1983
        tech = 11
1984
Entity  analyzed. Unit  generated.
1985
 
1986
Analyzing generic Entity  in library  (Architecture ).
1987
        abits = 7
1988
        dbits = 28
1989
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd" line 544: Instantiating black box module .
1990
Entity  analyzed. Unit  generated.
1991
 
1992
Analyzing generic Entity  in library  (Architecture ).
1993
        abits = 10
1994
        dbits = 32
1995
        tech = 11
1996
Entity  analyzed. Unit  generated.
1997
 
1998
Analyzing generic Entity  in library  (Architecture ).
1999
        abits = 10
2000
        dbits = 32
2001
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd" line 565: Instantiating black box module .
2002
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd" line 565: Instantiating black box module .
2003
Entity  analyzed. Unit  generated.
2004
 
2005
Analyzing generic Entity  in library  (Architecture ).
2006
        abits = 8
2007
        dbits = 24
2008
        tech = 11
2009
Entity  analyzed. Unit  generated.
2010
 
2011
Analyzing generic Entity  in library  (Architecture ).
2012
        abits = 8
2013
        dbits = 24
2014
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd" line 793: Instantiating black box module .
2015
Entity  analyzed. Unit  generated.
2016
 
2017
Analyzing generic Entity  in library  (Architecture ).
2018
        level = 0
2019
        oepol = 0
2020
        slew = 0
2021
        strength = 12
2022
        tech = 11
2023
        voltage = 3
2024
Entity  analyzed. Unit  generated.
2025
 
2026
Analyzing generic Entity  in library  (Architecture ).
2027
        level = 0
2028
        slew = 0
2029
        strength = 12
2030
        voltage = 3
2031
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd" line 251: Instantiating black box module .
2032
    Set user-defined property "CAPACITANCE =  DONT_CARE" for instance  in unit .
2033
    Set user-defined property "DRIVE =  12" for instance  in unit .
2034
    Set user-defined property "IOSTANDARD =  LVTTL" for instance  in unit .
2035
    Set user-defined property "SLEW =  SLOW" for instance  in unit .
2036
Entity  analyzed. Unit  generated.
2037
 
2038
Analyzing generic Entity  in library  (Architecture ).
2039
        hindex = 1
2040
        paddr = 7
2041
        pindex = 7
2042
        pmask = 4095
2043
Entity  analyzed. Unit  generated.
2044
 
2045
Analyzing generic Entity  in library  (Architecture ).
2046
        chprot = 3
2047
        devid = 7
2048
        hindex = 1
2049
        hirq = 0
2050
        incaddr = 0
2051
        venid = 1
2052
        version = 0
2053
Entity  analyzed. Unit  generated.
2054
 
2055
Analyzing generic Entity  in library  (Architecture ).
2056
        paddr = 7
2057
        pindex = 7
2058
        pmask = 4095
2059
Entity  analyzed. Unit  generated.
2060
 
2061
Analyzing Entity  in library  (Architecture ).
2062
Entity  analyzed. Unit  generated.
2063
 
2064
Analyzing generic Entity  in library  (Architecture ).
2065
        level = 0
2066
        slew = 0
2067
        strength = 12
2068
        tech = 11
2069
        voltage = 3
2070
Entity  analyzed. Unit  generated.
2071
 
2072
Analyzing generic Entity  in library  (Architecture ).
2073
        level = 0
2074
        slew = 0
2075
        strength = 12
2076
        voltage = 3
2077
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd" line 178: Instantiating black box module .
2078
    Set user-defined property "CAPACITANCE =  DONT_CARE" for instance  in unit .
2079
    Set user-defined property "DRIVE =  12" for instance  in unit .
2080
    Set user-defined property "IOSTANDARD =  LVTTL" for instance  in unit .
2081
    Set user-defined property "SLEW =  SLOW" for instance  in unit .
2082
Entity  analyzed. Unit  generated.
2083
 
2084
Analyzing generic Entity  in library  (Architecture ).
2085
        ainst = 2
2086
        dinst = 3
2087
        hindex = 2
2088
        idcode = 9
2089
        manf = 804
2090
        nsync = 1
2091
        part = 0
2092
        scantest = 0
2093
        tech = 11
2094
        ver = 0
2095
Entity  analyzed. Unit  generated.
2096
 
2097
Analyzing generic Entity  in library  (Architecture ).
2098
        chprot = 3
2099
        devid = 28
2100
        hindex = 2
2101
        hirq = 0
2102
        incaddr = 0
2103
        venid = 1
2104
        version = 0
2105
Entity  analyzed. Unit  generated.
2106
 
2107
Analyzing generic Entity  in library  (Architecture ).
2108
        idcode = 9
2109
        irlen = 6
2110
        manf = 804
2111
        part = 0
2112
        scantest = 0
2113
        tech = 11
2114
        trsten = 1
2115
        ver = 0
2116
Entity  analyzed. Unit  generated.
2117
 
2118
Analyzing Entity  in library  (Architecture ).
2119
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/tap_unisim.vhd" line 186: Instantiating black box module .
2120
    Set user-defined property "dont_touch =  TRUE" for instance  in unit .
2121
Entity  analyzed. Unit  generated.
2122
 
2123
Analyzing generic Entity  in library  (Architecture ).
2124
        ainst = 2
2125
        dinst = 3
2126
        isel = 1
2127
        nsync = 1
2128
Entity  analyzed. Unit  generated.
2129
 
2130
Analyzing generic Entity  in library  (Architecture ).
2131
        fast = 0
2132
        hindex = 0
2133
        invclk = 0
2134
        ioaddr = 512
2135
        iomask = 3584
2136
        mobile = 0
2137
        oepol = 0
2138
        paddr = 0
2139
        pageburst = 0
2140
        pindex = 0
2141
        pmask = 4095
2142
        ram16 = 1
2143
        ram8 = 1
2144
        ramaddr = 1024
2145
        rammask = 3072
2146
        romaddr = 0
2147
        romasel = 28
2148
        rommask = 3584
2149
        scantest = 0
2150
        sdbits = 32
2151
        sden = 1
2152
        sdlsb = 2
2153
        sdrasel = 29
2154
        sepbus = 0
2155
        srbanks = 2
2156
        syncrst = 0
2157
        wprot = 0
2158
    Set property "syn_preserve = TRUE" for signal .
2159
    Set property "syn_preserve = TRUE" for signal .
2160
    Set property "syn_preserve = TRUE" for signal .
2161
INFO:Xst:1561 - "C:/grlib-gpl-1.0.19-b3188/lib/esa/memoryctrl/mctrl.vhd" line 724: Mux is complete : default of case is discarded
2162
WARNING:Xst:819 - "C:/grlib-gpl-1.0.19-b3188/lib/esa/memoryctrl/mctrl.vhd" line 207: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
2163
   , , , , , , , , , , , , , , , , 
2164
Entity  analyzed. Unit  generated.
2165
 
2166
Analyzing generic Entity  in library  (Architecture ).
2167
        fast = 0
2168
        invclk = 0
2169
        mobile = 0
2170
        pageburst = 0
2171
        pindex = 0
2172
        sdbits = 32
2173
        wprot = 0
2174
WARNING:Xst:819 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/memctrl/sdmctrl.vhd" line 131: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
2175
   , , , , , , , , , , , , , , , 
2176
INFO:Xst:2679 - Register  in unit  has a constant value of 0 during circuit operation. The register is replaced by logic.
2177
Entity  analyzed. Unit  generated.
2178
 
2179
Analyzing generic Entity  in library  (Architecture ).
2180
        level = 0
2181
        slew = 0
2182
        strength = 12
2183
        tech = 11
2184
        voltage = 3
2185
        width = 4
2186
Entity  analyzed. Unit  generated.
2187
 
2188
Analyzing generic Entity  in library  (Architecture ).
2189
        level = 0
2190
        slew = 0
2191
        strength = 12
2192
        tech = 11
2193
        voltage = 3
2194
Entity  analyzed. Unit  generated.
2195
 
2196
Analyzing generic Entity  in library  (Architecture ).
2197
        level = 0
2198
        slew = 0
2199
        strength = 12
2200
        tech = 11
2201
        voltage = 3
2202
        width = 2
2203
Entity  analyzed. Unit  generated.
2204
 
2205
Analyzing generic Entity  in library  (Architecture ).
2206
        level = 0
2207
        slew = 0
2208
        strength = 12
2209
        tech = 11
2210
        voltage = 3
2211
        width = 28
2212
Entity  analyzed. Unit  generated.
2213
 
2214
Analyzing generic Entity  in library  (Architecture ).
2215
        level = 0
2216
        slew = 0
2217
        strength = 12
2218
        tech = 11
2219
        voltage = 3
2220
        width = 5
2221
Entity  analyzed. Unit  generated.
2222
 
2223
Analyzing generic Entity  in library  (Architecture ).
2224
        level = 0
2225
        oepol = 0
2226
        slew = 0
2227
        strength = 12
2228
        tech = 11
2229
        voltage = 3
2230
        width = 8
2231
Entity  analyzed. Unit  generated.
2232
 
2233
Analyzing generic Entity  in library  (Architecture ).
2234
        level = 0
2235
        oepol = 0
2236
        slew = 0
2237
        strength = 12
2238
        tech = 11
2239
        voltage = 3
2240
Entity  analyzed. Unit  generated.
2241
 
2242
Analyzing generic Entity  in library  (Architecture ).
2243
        level = 0
2244
        slew = 0
2245
        strength = 12
2246
        voltage = 3
2247
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd" line 106: Instantiating black box module .
2248
    Set user-defined property "CAPACITANCE =  DONT_CARE" for instance  in unit .
2249
    Set user-defined property "DRIVE =  12" for instance  in unit .
2250
    Set user-defined property "IOSTANDARD =  LVTTL" for instance  in unit .
2251
    Set user-defined property "SLEW =  SLOW" for instance  in unit .
2252
Entity  analyzed. Unit  generated.
2253
 
2254
Analyzing generic Entity  in library  (Architecture ).
2255
        asserterr = 0
2256
        assertwarn = 0
2257
        debug = 2
2258
        enbusmon = 0
2259
        haddr = 2048
2260
        hindex = 1
2261
        hmask = 4095
2262
        icheck = 1
2263
        nslaves = 16
2264
        pslvdisable = 0
2265
WARNING:Xst:819 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/apbctrl.vhd" line 91: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
2266
   , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , 
2267
Entity  analyzed. Unit  generated.
2268
 
2269
Analyzing generic Entity  in library  (Architecture ).
2270
        abits = 8
2271
        console = 0
2272
        fifosize = 4
2273
        flow = 1
2274
        paddr = 1
2275
        parity = 1
2276
        pindex = 1
2277
        pirq = 2
2278
        pmask = 4095
2279
Entity  analyzed. Unit  generated.
2280
 
2281
Analyzing generic Entity  in library  (Architecture ).
2282
        eirq = 0
2283
        ncpu = 1
2284
        paddr = 2
2285
        pindex = 2
2286
        pmask = 4095
2287
WARNING:Xst:819 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/irqmp.vhd" line 101: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
2288
   , , 
2289
Entity  analyzed. Unit  generated.
2290
 
2291
Analyzing generic Entity  in library  (Architecture ).
2292
        level = 0
2293
        oepol = 0
2294
        slew = 0
2295
        strength = 12
2296
        tech = 11
2297
        voltage = 3
2298
Entity  analyzed. Unit  generated.
2299
 
2300
Analyzing generic Entity  in library  (Architecture ).
2301
        level = 0
2302
        slew = 0
2303
        strength = 12
2304
        tech = 11
2305
        voltage = 3
2306
        width = 8
2307
Entity  analyzed. Unit  generated.
2308
 
2309
 
2310
=========================================================================
2311
*                           HDL Synthesis                               *
2312
=========================================================================
2313
 
2314
Performing bidirectional port resolution...
2315
INFO:Xst:2679 - Register  in unit  has a constant value of 0 during circuit operation. The register is replaced by logic.
2316
 
2317
Synthesizing Unit .
2318
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/rstgen.vhd".
2319
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2320
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2321
    Found 5-bit register for signal .
2322
    Found 1-bit register for signal .
2323
    Summary:
2324
        inferred   6 D-type flip-flop(s).
2325
Unit  synthesized.
2326
 
2327
 
2328
Synthesizing Unit .
2329
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/ahbctrl.vhd".
2330
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2331
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2332
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2333
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2334
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2335
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2336
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2337
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2338
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2339
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2340
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2341
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2342
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2343
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2344
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2345
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2346
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2347
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2348
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2349
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2350
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2351
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2352
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2353
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2354
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2355
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2356
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2357
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2358
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2359
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2360
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2361
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2362
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2363
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2364
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2365
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2366
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2367
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2368
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2369
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2370
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2371
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2372
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2373
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2374
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2375
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2376
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2377
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2378
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2379
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2380
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2381
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2382
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2383
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2384
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2385
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2386
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2387
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2388
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2389
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2390
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2391
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2392
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2393
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2394
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2395
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2396
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2397
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2398
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2399
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2400
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2401
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2402
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2403
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2404
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2405
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2406
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2407
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2408
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2409
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2410
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2411
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2412
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2413
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2414
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2415
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2416
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2417
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2418
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2419
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2420
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2421
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2422
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2423
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2424
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2425
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2426
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2427
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2428
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2429
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2430
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2431
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2432
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2433
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2434
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2435
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2436
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2437
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2438
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2439
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2440
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2441
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2442
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2443
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2444
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2445
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2446
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2447
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2448
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2449
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2450
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2451
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2452
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2453
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2454
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2455
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2456
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2457
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2458
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2459
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2460
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2461
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2462
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2463
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2464
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2465
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2466
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2467
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2468
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2469
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2470
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2471
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2472
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2473
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2474
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2475
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2476
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2477
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2478
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2479
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2480
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2481
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2482
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2483
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2484
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2485
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2486
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2487
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2488
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2489
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2490
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2491
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2492
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2493
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2494
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2495
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2496
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2497
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2498
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2499
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2500
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2501
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2502
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2503
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2504
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2505
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2506
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2507
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2508
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2509
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2510
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2511
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2512
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2513
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2514
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2515
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2516
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2517
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2518
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2519
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2520
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2521
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2522
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2523
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2524
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2525
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2526
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2527
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2528
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2529
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2530
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2531
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2532
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2533
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2534
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2535
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2536
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2537
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2538
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2539
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2540
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2541
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2542
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2543
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2544
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2545
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2546
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2547
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2548
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2549
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2550
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2551
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2552
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2553
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2554
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2555
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2556
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2557
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2558
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2559
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
2560
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
2561
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
2562
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
2563
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
2564
    Found 16x3-bit ROM for signal  created at line 160.
2565
    Found 16x3-bit ROM for signal  created at line 161.
2566
    Found 3-bit 4-to-1 multiplexer for signal .
2567
    Found 1-bit 4-to-1 multiplexer for signal .
2568
    Found 4-bit 4-to-1 multiplexer for signal .
2569
    Found 32-bit 4-to-1 multiplexer for signal .
2570
    Found 32-bit 4-to-1 multiplexer for signal .
2571
    Found 2-bit 4-to-1 multiplexer for signal <$mux0000> created at line 374.
2572
    Found 3-bit 4-to-1 multiplexer for signal <$mux0001> created at line 377.
2573
    Found 32-bit 8-to-1 multiplexer for signal <$varindex0000> created at line 495.
2574
    Found 1-bit 4-to-1 multiplexer for signal  created at line 379.
2575
    Found 32-bit 4-to-1 multiplexer for signal  created at line 365.
2576
    Found 12-bit comparator equal for signal  created at line 421.
2577
    Found 12-bit comparator equal for signal  created at line 421.
2578
    Found 12-bit comparator equal for signal  created at line 421.
2579
    Found 12-bit comparator equal for signal  created at line 421.
2580
    Found 12-bit comparator equal for signal  created at line 421.
2581
    Found 12-bit comparator equal for signal  created at line 421.
2582
    Found 12-bit comparator equal for signal  created at line 421.
2583
    Found 12-bit comparator equal for signal  created at line 421.
2584
    Found 12-bit comparator equal for signal  created at line 421.
2585
    Found 12-bit comparator equal for signal  created at line 421.
2586
    Found 12-bit comparator equal for signal  created at line 421.
2587
    Found 12-bit comparator equal for signal  created at line 421.
2588
    Found 12-bit comparator equal for signal  created at line 421.
2589
    Found 12-bit comparator equal for signal  created at line 421.
2590
    Found 12-bit comparator equal for signal  created at line 421.
2591
    Found 12-bit comparator equal for signal  created at line 421.
2592
    Found 12-bit comparator equal for signal  created at line 421.
2593
    Found 12-bit comparator equal for signal  created at line 421.
2594
    Found 12-bit comparator equal for signal  created at line 421.
2595
    Found 12-bit comparator equal for signal  created at line 421.
2596
    Found 12-bit comparator equal for signal  created at line 421.
2597
    Found 12-bit comparator equal for signal  created at line 421.
2598
    Found 12-bit comparator equal for signal  created at line 421.
2599
    Found 12-bit comparator equal for signal  created at line 421.
2600
    Found 12-bit comparator equal for signal  created at line 421.
2601
    Found 12-bit comparator equal for signal  created at line 421.
2602
    Found 12-bit comparator equal for signal  created at line 421.
2603
    Found 12-bit comparator equal for signal  created at line 421.
2604
    Found 12-bit comparator equal for signal  created at line 421.
2605
    Found 12-bit comparator equal for signal  created at line 421.
2606
    Found 12-bit comparator equal for signal  created at line 421.
2607
    Found 12-bit comparator equal for signal  created at line 421.
2608
    Found 32-bit 8-to-1 multiplexer for signal .
2609
    Found 1-bit register for signal .
2610
    Found 1-bit register for signal .
2611
    Found 1-bit register for signal .
2612
    Found 14-bit register for signal .
2613
    Found 2-bit register for signal .
2614
    Found 2-bit register for signal .
2615
    Found 1-bit register for signal .
2616
    Found 1-bit register for signal .
2617
    Found 32-bit register for signal .
2618
    Found 32-bit register for signal .
2619
    Found 1-bit register for signal .
2620
    Found 3-bit register for signal .
2621
    Found 2-bit register for signal .
2622
    Found 2-bit comparator greatequal for signal  created at line 274.
2623
    Found 2-bit comparator greatequal for signal  created at line 274.
2624
    Found 2-bit comparator greatequal for signal  created at line 274.
2625
    Found 1-bit 4-to-1 multiplexer for signal  created at line 557.
2626
    Found 32-bit 4-to-1 multiplexer for signal > created at line 486.
2627
    Found 256-bit 8-to-1 multiplexer for signal > created at line 495.
2628
    Summary:
2629
        inferred   2 ROM(s).
2630
        inferred  93 D-type flip-flop(s).
2631
        inferred  35 Comparator(s).
2632
        inferred 463 Multiplexer(s).
2633
Unit  synthesized.
2634
 
2635
 
2636
Synthesizing Unit .
2637
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/apbctrl.vhd".
2638
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2639
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2640
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2641
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2642
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2643
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2644
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2645
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2646
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2647
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2648
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2649
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2650
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2651
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2652
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2653
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2654
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2655
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2656
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2657
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2658
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2659
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2660
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2661
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2662
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2663
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2664
    Using one-hot encoding for signal .
2665
    Found 12-bit comparator equal for signal  created at line 130.
2666
    Found 12-bit comparator equal for signal  created at line 130.
2667
    Found 12-bit comparator equal for signal  created at line 130.
2668
    Found 12-bit comparator equal for signal  created at line 130.
2669
    Found 12-bit comparator equal for signal  created at line 130.
2670
    Found 12-bit comparator equal for signal  created at line 130.
2671
    Found 12-bit comparator equal for signal  created at line 130.
2672
    Found 12-bit comparator equal for signal  created at line 130.
2673
    Found 12-bit comparator equal for signal  created at line 130.
2674
    Found 12-bit comparator equal for signal  created at line 130.
2675
    Found 12-bit comparator equal for signal  created at line 130.
2676
    Found 1-bit register for signal .
2677
    Found 20-bit register for signal .
2678
    Found 1-bit register for signal .
2679
    Found 1-bit register for signal .
2680
    Found 1-bit register for signal .
2681
    Found 32-bit register for signal .
2682
    Found 1-bit register for signal .
2683
    Found 32-bit register for signal .
2684
    Found 3-bit register for signal .
2685
    Found 64-bit 16-to-1 multiplexer for signal > created at line 153.
2686
    Summary:
2687
        inferred  92 D-type flip-flop(s).
2688
        inferred  11 Comparator(s).
2689
        inferred  64 Multiplexer(s).
2690
Unit  synthesized.
2691
 
2692
 
2693
Synthesizing Unit .
2694
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/apbuart.vhd".
2695
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2696
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2697
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2698
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2699
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2700
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2701
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
2702
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2703
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2704
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2705
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2706
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 321 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
2707
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
2708
        - use the attribute 'signal_encoding user' to avoid onehot optimization
2709
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
2710
    Using one-hot encoding for signal .
2711
    Using one-hot encoding for signal .
2712
    Found 12-bit register for signal .
2713
    Found 1-bit register for signal .
2714
    Found 2-bit register for signal .
2715
    Found 1-bit register for signal .
2716
    Found 1-bit register for signal .
2717
    Found 1-bit xor2 for signal  created at line 420.
2718
    Found 1-bit register for signal .
2719
    Found 1-bit register for signal .
2720
    Found 1-bit register for signal .
2721
    Found 1-bit register for signal .
2722
    Found 1-bit register for signal .
2723
    Found 1-bit register for signal .
2724
    Found 1-bit register for signal .
2725
    Found 1-bit register for signal .
2726
    Found 1-bit register for signal .
2727
    Found 1-bit register for signal .
2728
    Found 1-bit register for signal .
2729
    Found 3-bit register for signal .
2730
    Found 1-bit register for signal .
2731
    Found 32-bit register for signal .
2732
    Found 1-bit register for signal .
2733
    Found 2-bit up counter for signal .
2734
    Found 1-bit register for signal .
2735
    Found 8-bit register for signal .
2736
    Found 1-bit register for signal .
2737
    Found 2-bit register for signal .
2738
    Found 3-bit register for signal .
2739
    Found 2-bit register for signal .
2740
    Found 1-bit register for signal .
2741
    Found 5-bit register for signal .
2742
    Found 5-bit register for signal .
2743
    Found 1-bit register for signal .
2744
    Found 12-bit register for signal .
2745
    Found 3-bit register for signal .
2746
    Found 1-bit register for signal .
2747
    Found 32-bit register for signal .
2748
    Found 1-bit register for signal .
2749
    Found 1-bit register for signal .
2750
    Found 1-bit register for signal .
2751
    Found 1-bit xor2 for signal  created at line 341.
2752
    Found 2-bit register for signal .
2753
    Found 1-bit register for signal .
2754
    Found 11-bit register for signal .
2755
    Found 2-bit up counter for signal .
2756
    Found 3-bit register for signal .
2757
    Found 1-bit register for signal .
2758
    Found 1-bit register for signal .
2759
    Found 4-bit register for signal .
2760
    Found 1-bit register for signal .
2761
    Found 8-bit 4-to-1 multiplexer for signal  created at line 190.
2762
    Found 8-bit 4-to-1 multiplexer for signal  created at line 226.
2763
    Found 3-bit adder for signal  created at line 233.
2764
    Found 12-bit subtractor for signal  created at line 273.
2765
    Found 3-bit adder for signal  created at line 233.
2766
    Found 3-bit adder for signal  created at line 233.
2767
    Found 3-bit subtractor for signal  created at line 273.
2768
    Found 3-bit adder for signal  created at line 233.
2769
    Found 2-bit adder for signal  created at line 233.
2770
    Found 3-bit adder for signal  created at line 233.
2771
    Found 3-bit subtractor for signal  created at line 273.
2772
    Found 2-bit adder for signal  created at line 233.
2773
    Summary:
2774
        inferred   2 Counter(s).
2775
        inferred 169 D-type flip-flop(s).
2776
        inferred  10 Adder/Subtractor(s).
2777
        inferred  16 Multiplexer(s).
2778
Unit  synthesized.
2779
 
2780
 
2781
Synthesizing Unit .
2782
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/irqmp.vhd".
2783
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2784
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2785
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2786
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2787
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2788
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2789
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2790
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2791
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2792
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2793
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2794
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
2795
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
2796
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
2797
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
2798
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
2799
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
2800
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
2801
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
2802
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
2803
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
2804
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
2805
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
2806
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
2807
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
2808
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
2809
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
2810
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
2811
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
2812
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
2813
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
2814
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
2815
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
2816
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
2817
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
2818
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
2819
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
2820
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
2821
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
2822
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
2823
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
2824
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
2825
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
2826
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
2827
    Found 1-bit 4-to-1 multiplexer for signal  created at line 143.
2828
    Found 1-bit 4-to-1 multiplexer for signal  created at line 145.
2829
    Found 1-bit register for signal >.
2830
    Found 15-bit register for signal >.
2831
    Found 15-bit register for signal .
2832
    Found 15-bit register for signal >.
2833
    Found 15-bit register for signal .
2834
    Found 4-bit register for signal >.
2835
    Summary:
2836
        inferred  65 D-type flip-flop(s).
2837
        inferred  31 Multiplexer(s).
2838
Unit  synthesized.
2839
 
2840
 
2841
Synthesizing Unit .
2842
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/arith/mul32.vhd".
2843
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
2844
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
2845
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
2846
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
2847
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
2848
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
2849
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
2850
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
2851
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
2852
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
2853
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
2854
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
2855
    Using one-hot encoding for signal .
2856
    Found 66-bit register for signal .
2857
    Found 33x33-bit multiplier for signal  created at line 111.
2858
    Found 64-bit register for signal .
2859
    Found 1-bit register for signal .
2860
    Found 1-bit register for signal .
2861
    Found 2-bit register for signal .
2862
    Summary:
2863
        inferred 134 D-type flip-flop(s).
2864
        inferred   1 Multiplier(s).
2865
Unit  synthesized.
2866
 
2867
 
2868
Synthesizing Unit .
2869
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/arith/div32.vhd".
2870
    Using one-hot encoding for signal .
2871
    Found 33-bit adder for signal .
2872
    Found 33-bit adder for signal  created at line 209.
2873
    Found 5-bit register for signal .
2874
    Found 1-bit register for signal .
2875
    Found 1-bit xor2 for signal  created at line 94.
2876
    Found 1-bit register for signal .
2877
    Found 1-bit register for signal .
2878
    Found 1-bit xor2 for signal  created at line 117.
2879
    Found 1-bit register for signal .
2880
    Found 1-bit register for signal .
2881
    Found 1-bit xor2 for signal  created at line 111.
2882
    Found 6-bit register for signal .
2883
    Found 65-bit register for signal .
2884
    Found 1-bit register for signal .
2885
    Found 1-bit register for signal .
2886
    Found 1-bit register for signal .
2887
    Found 5-bit adder for signal  created at line 233.
2888
    Found 1-bit xor2 for signal  created at line 83.
2889
    Summary:
2890
        inferred  84 D-type flip-flop(s).
2891
        inferred   3 Adder/Subtractor(s).
2892
Unit  synthesized.
2893
 
2894
 
2895
Synthesizing Unit .
2896
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/reg_zero.vhd".
2897
WARNING:Xst:737 - Found 2-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
2898
    Using one-hot encoding for signal .
2899
Unit  synthesized.
2900
 
2901
 
2902
Synthesizing Unit .
2903
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/my_mux.vhd".
2904
    Found 32-bit 4-to-1 multiplexer for signal .
2905
    Summary:
2906
        inferred  32 Multiplexer(s).
2907
Unit  synthesized.
2908
 
2909
 
2910
Synthesizing Unit .
2911
    Related source file is "../../lib/gaisler/vlog/ulit.v".
2912
    Found 32-bit register for signal .
2913
    Summary:
2914
        inferred  32 D-type flip-flop(s).
2915
Unit  synthesized.
2916
 
2917
 
2918
Synthesizing Unit .
2919
    Related source file is "../../lib/gaisler/vlog/ulit.v".
2920
    Found 32-bit register for signal .
2921
    Summary:
2922
        inferred  32 D-type flip-flop(s).
2923
Unit  synthesized.
2924
 
2925
 
2926
Synthesizing Unit .
2927
    Related source file is "../../lib/gaisler/vlog/ulit.v".
2928
    Found 32-bit register for signal .
2929
    Summary:
2930
        inferred  32 D-type flip-flop(s).
2931
Unit  synthesized.
2932
 
2933
 
2934
Synthesizing Unit .
2935
    Related source file is "../../lib/gaisler/vlog/ulit.v".
2936
    Found 5-bit register for signal .
2937
    Summary:
2938
        inferred   5 D-type flip-flop(s).
2939
Unit  synthesized.
2940
 
2941
 
2942
Synthesizing Unit .
2943
    Related source file is "../../lib/gaisler/vlog/ulit.v".
2944
Unit  synthesized.
2945
 
2946
 
2947
Synthesizing Unit .
2948
    Related source file is "../../lib/gaisler/vlog/ulit.v".
2949
    Found 5-bit register for signal .
2950
    Summary:
2951
        inferred   5 D-type flip-flop(s).
2952
Unit  synthesized.
2953
 
2954
 
2955
Synthesizing Unit .
2956
    Related source file is "../../lib/gaisler/vlog/ulit.v".
2957
    Found 5-bit register for signal .
2958
    Summary:
2959
        inferred   5 D-type flip-flop(s).
2960
Unit  synthesized.
2961
 
2962
 
2963
Synthesizing Unit .
2964
    Related source file is "../../lib/gaisler/vlog/ulit.v".
2965
    Found 101-bit up counter for signal .
2966
    Found 101-bit up counter for signal .
2967
    Summary:
2968
        inferred   2 Counter(s).
2969
Unit  synthesized.
2970
 
2971
 
2972
Synthesizing Unit .
2973
    Related source file is "../../lib/gaisler/vlog/ctl_fsm1.v".
2974
    Using one-hot encoding for signal .
2975
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 71 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
2976
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
2977
        - use the attribute 'signal_encoding user' to avoid onehot optimization
2978
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
2979
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 104 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
2980
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
2981
        - use the attribute 'signal_encoding user' to avoid onehot optimization
2982
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
2983
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 104 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
2984
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
2985
        - use the attribute 'signal_encoding user' to avoid onehot optimization
2986
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
2987
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 104 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
2988
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
2989
        - use the attribute 'signal_encoding user' to avoid onehot optimization
2990
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
2991
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 104 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
2992
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
2993
        - use the attribute 'signal_encoding user' to avoid onehot optimization
2994
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
2995
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 104 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
2996
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
2997
        - use the attribute 'signal_encoding user' to avoid onehot optimization
2998
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
2999
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 104 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
3000
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
3001
        - use the attribute 'signal_encoding user' to avoid onehot optimization
3002
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
3003
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 104 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
3004
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
3005
        - use the attribute 'signal_encoding user' to avoid onehot optimization
3006
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
3007
    Found 8-bit register for signal .
3008
    Found 6-bit up counter for signal .
3009
    Found 1-bit register for signal .
3010
    Summary:
3011
        inferred   1 Counter(s).
3012
        inferred   9 D-type flip-flop(s).
3013
Unit  synthesized.
3014
 
3015
 
3016
Synthesizing Unit .
3017
    Related source file is "../../lib/gaisler/vlog/RF_components1.v".
3018
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3019
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3020
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3021
WARNING:Xst:737 - Found 1-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
3022
    Found 33-bit adder for signal .
3023
    Found 33-bit subtractor for signal  created at line 72.
3024
    Found 32-bit adder for signal  created at line 76.
3025
    Summary:
3026
        inferred   3 Adder/Subtractor(s).
3027
Unit  synthesized.
3028
 
3029
 
3030
Synthesizing Unit .
3031
    Related source file is "../../lib/gaisler/vlog/RF_components1.v".
3032
    Found 32-bit comparator equal for signal  created at line 47.
3033
    Found 32-bit comparator not equal for signal  created at line 48.
3034
    Summary:
3035
        inferred   2 Comparator(s).
3036
Unit  synthesized.
3037
 
3038
 
3039
Synthesizing Unit .
3040
    Related source file is "../../lib/gaisler/vlog/RF_components1.v".
3041
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3042
Unit  synthesized.
3043
 
3044
 
3045
Synthesizing Unit .
3046
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3047
    Found 32-bit register for signal .
3048
    Summary:
3049
        inferred  32 D-type flip-flop(s).
3050
Unit  synthesized.
3051
 
3052
 
3053
Synthesizing Unit .
3054
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3055
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3056
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3057
Unit  synthesized.
3058
 
3059
 
3060
Synthesizing Unit .
3061
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3062
    Found 5-bit 4-to-1 multiplexer for signal .
3063
    Summary:
3064
        inferred   5 Multiplexer(s).
3065
Unit  synthesized.
3066
 
3067
 
3068
Synthesizing Unit .
3069
    Related source file is "../../lib/gaisler/vlog/forward.v".
3070
Unit  synthesized.
3071
 
3072
 
3073
Synthesizing Unit .
3074
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3075
    Found 32-bit adder for signal .
3076
    Summary:
3077
        inferred   1 Adder/Subtractor(s).
3078
Unit  synthesized.
3079
 
3080
 
3081
Synthesizing Unit .
3082
    Related source file is "../../lib/gaisler/vlog/EXEC_stage.v".
3083
    Found 32-bit 4-to-1 multiplexer for signal .
3084
    Found 32-bit 4-to-1 multiplexer for signal  created at line 212.
3085
    Summary:
3086
        inferred  64 Multiplexer(s).
3087
Unit  synthesized.
3088
 
3089
 
3090
Synthesizing Unit .
3091
    Related source file is "../../lib/gaisler/vlog/EXEC_stage.v".
3092
    Found 32-bit 4-to-1 multiplexer for signal .
3093
    Found 32-bit 4-to-1 multiplexer for signal  created at line 232.
3094
    Summary:
3095
        inferred  64 Multiplexer(s).
3096
Unit  synthesized.
3097
 
3098
 
3099
Synthesizing Unit .
3100
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3101
    Found 32-bit register for signal .
3102
    Summary:
3103
        inferred  32 D-type flip-flop(s).
3104
Unit  synthesized.
3105
 
3106
 
3107
Synthesizing Unit .
3108
    Related source file is "../../lib/gaisler/vlog/EXEC_stage.v".
3109
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3110
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3111
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3112
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3113
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3114
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3115
    Found 32-bit 4-to-1 multiplexer for signal .
3116
    Found 1-bit register for signal .
3117
    Found 33-bit adder for signal <$add0000> created at line 678.
3118
    Found 33-bit adder for signal <$add0001> created at line 679.
3119
    Found 33-bit adder for signal <$add0002> created at line 693.
3120
    Found 33-bit adder for signal <$add0003> created at line 693.
3121
    Found 32-bit adder for signal <$add0004> created at line 703.
3122
    Found 1-bit 4-to-1 multiplexer for signal <$mux0000> created at line 677.
3123
    Found 1-bit 4-to-1 multiplexer for signal <$mux0001> created at line 677.
3124
    Found 1-bit 4-to-1 multiplexer for signal <$mux0002> created at line 677.
3125
    Found 1-bit 4-to-1 multiplexer for signal <$mux0003> created at line 677.
3126
    Found 1-bit 4-to-1 multiplexer for signal <$mux0004> created at line 677.
3127
    Found 1-bit 4-to-1 multiplexer for signal <$mux0005> created at line 677.
3128
    Found 1-bit 4-to-1 multiplexer for signal <$mux0006> created at line 677.
3129
    Found 1-bit 4-to-1 multiplexer for signal <$mux0007> created at line 677.
3130
    Found 1-bit 4-to-1 multiplexer for signal <$mux0008> created at line 677.
3131
    Found 1-bit 4-to-1 multiplexer for signal <$mux0009> created at line 677.
3132
    Found 1-bit 4-to-1 multiplexer for signal <$mux0010> created at line 677.
3133
    Found 1-bit 4-to-1 multiplexer for signal <$mux0011> created at line 677.
3134
    Found 1-bit 4-to-1 multiplexer for signal <$mux0012> created at line 677.
3135
    Found 1-bit 4-to-1 multiplexer for signal <$mux0013> created at line 677.
3136
    Found 1-bit 4-to-1 multiplexer for signal <$mux0014> created at line 677.
3137
    Found 1-bit 4-to-1 multiplexer for signal <$mux0015> created at line 677.
3138
    Found 1-bit 4-to-1 multiplexer for signal <$mux0016> created at line 677.
3139
    Found 1-bit 4-to-1 multiplexer for signal <$mux0017> created at line 677.
3140
    Found 1-bit 4-to-1 multiplexer for signal <$mux0018> created at line 677.
3141
    Found 1-bit 4-to-1 multiplexer for signal <$mux0019> created at line 677.
3142
    Found 1-bit 4-to-1 multiplexer for signal <$mux0020> created at line 677.
3143
    Found 1-bit 4-to-1 multiplexer for signal <$mux0021> created at line 677.
3144
    Found 1-bit 4-to-1 multiplexer for signal <$mux0022> created at line 677.
3145
    Found 1-bit 4-to-1 multiplexer for signal <$mux0023> created at line 677.
3146
    Found 1-bit 4-to-1 multiplexer for signal <$mux0024> created at line 677.
3147
    Found 1-bit 4-to-1 multiplexer for signal <$mux0025> created at line 677.
3148
    Found 1-bit 4-to-1 multiplexer for signal <$mux0026> created at line 677.
3149
    Found 1-bit 4-to-1 multiplexer for signal <$mux0027> created at line 677.
3150
    Found 1-bit 4-to-1 multiplexer for signal <$mux0028> created at line 677.
3151
    Found 1-bit 4-to-1 multiplexer for signal <$mux0029> created at line 677.
3152
    Found 1-bit 4-to-1 multiplexer for signal <$mux0030> created at line 677.
3153
    Found 1-bit 4-to-1 multiplexer for signal <$mux0031> created at line 677.
3154
    Found 1-bit 4-to-1 multiplexer for signal <$mux0032> created at line 677.
3155
    Found 1-bit register for signal .
3156
    Found 1-bit register for signal .
3157
    Found 1-bit register for signal .
3158
    Found 6-bit up counter for signal .
3159
    Found 1-bit register for signal .
3160
    Found 65-bit register for signal .
3161
    Found 1-bit xor2 for signal  created at line 695.
3162
    Found 1-bit register for signal .
3163
    Found 33-bit adder for signal .
3164
    Found 1-bit xor2 for signal  created at line 690.
3165
    Found 1-bit register for signal .
3166
    Found 1-bit xor2 for signal  created at line 731.
3167
    Found 1-bit xor2 for signal  created at line 730.
3168
    Found 33-bit register for signal .
3169
    Found 1-bit register for signal .
3170
    Found 1-bit register for signal .
3171
    Found 1-bit register for signal .
3172
    Found 1-bit register for signal .
3173
    Found 1-bit register for signal .
3174
    Summary:
3175
        inferred   1 Counter(s).
3176
        inferred 110 D-type flip-flop(s).
3177
        inferred   7 Adder/Subtractor(s).
3178
        inferred   2 Comparator(s).
3179
        inferred  65 Multiplexer(s).
3180
Unit  synthesized.
3181
 
3182
 
3183
Synthesizing Unit .
3184
    Related source file is "../../lib/gaisler/vlog/EXEC_stage.v".
3185
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3186
Unit  synthesized.
3187
 
3188
 
3189
Synthesizing Unit .
3190
    Related source file is "../../lib/gaisler/vlog/EXEC_stage.v".
3191
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3192
    Found 32-bit adder for signal .
3193
    Found 32-bit adder for signal  created at line 259.
3194
    Found 32-bit comparator less for signal  created at line 264.
3195
    Found 32-bit xor2 for signal  created at line 262.
3196
    Found 33-bit adder for signal  created at line 267.
3197
    Summary:
3198
        inferred   4 Adder/Subtractor(s).
3199
        inferred   1 Comparator(s).
3200
Unit  synthesized.
3201
 
3202
 
3203
Synthesizing Unit .
3204
    Related source file is "../../lib/gaisler/vlog/decode_pipe1.v".
3205
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3206
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3207
    Found 4x1-bit ROM for signal  created at line 740.
3208
    Found 5-bit comparator equal for signal  created at line 1534.
3209
    Found 5-bit comparator equal for signal  created at line 1534.
3210
    Summary:
3211
        inferred   1 ROM(s).
3212
        inferred   2 Comparator(s).
3213
Unit  synthesized.
3214
 
3215
 
3216
Synthesizing Unit .
3217
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3218
    Found 2-bit register for signal .
3219
    Summary:
3220
        inferred   2 D-type flip-flop(s).
3221
Unit  synthesized.
3222
 
3223
 
3224
Synthesizing Unit .
3225
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3226
    Found 1-bit register for signal >.
3227
    Summary:
3228
        inferred   1 D-type flip-flop(s).
3229
Unit  synthesized.
3230
 
3231
 
3232
Synthesizing Unit .
3233
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3234
    Found 1-bit register for signal >.
3235
    Summary:
3236
        inferred   1 D-type flip-flop(s).
3237
Unit  synthesized.
3238
 
3239
 
3240
Synthesizing Unit .
3241
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3242
    Found 1-bit register for signal >.
3243
    Summary:
3244
        inferred   1 D-type flip-flop(s).
3245
Unit  synthesized.
3246
 
3247
 
3248
Synthesizing Unit .
3249
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3250
    Found 1-bit register for signal >.
3251
    Summary:
3252
        inferred   1 D-type flip-flop(s).
3253
Unit  synthesized.
3254
 
3255
 
3256
Synthesizing Unit .
3257
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3258
    Found 2-bit register for signal .
3259
    Summary:
3260
        inferred   2 D-type flip-flop(s).
3261
Unit  synthesized.
3262
 
3263
 
3264
Synthesizing Unit .
3265
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3266
    Found 5-bit register for signal .
3267
    Summary:
3268
        inferred   5 D-type flip-flop(s).
3269
Unit  synthesized.
3270
 
3271
 
3272
Synthesizing Unit .
3273
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3274
    Found 5-bit register for signal .
3275
    Summary:
3276
        inferred   5 D-type flip-flop(s).
3277
Unit  synthesized.
3278
 
3279
 
3280
Synthesizing Unit .
3281
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3282
    Found 2-bit register for signal .
3283
    Summary:
3284
        inferred   2 D-type flip-flop(s).
3285
Unit  synthesized.
3286
 
3287
 
3288
Synthesizing Unit .
3289
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3290
    Found 1-bit register for signal >.
3291
    Summary:
3292
        inferred   1 D-type flip-flop(s).
3293
Unit  synthesized.
3294
 
3295
 
3296
Synthesizing Unit .
3297
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3298
    Found 1-bit register for signal >.
3299
    Summary:
3300
        inferred   1 D-type flip-flop(s).
3301
Unit  synthesized.
3302
 
3303
 
3304
Synthesizing Unit .
3305
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3306
    Found 3-bit register for signal .
3307
    Summary:
3308
        inferred   3 D-type flip-flop(s).
3309
Unit  synthesized.
3310
 
3311
 
3312
Synthesizing Unit .
3313
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3314
    Found 1-bit register for signal >.
3315
    Summary:
3316
        inferred   1 D-type flip-flop(s).
3317
Unit  synthesized.
3318
 
3319
 
3320
Synthesizing Unit .
3321
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3322
    Found 5-bit register for signal .
3323
    Summary:
3324
        inferred   5 D-type flip-flop(s).
3325
Unit  synthesized.
3326
 
3327
 
3328
Synthesizing Unit .
3329
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3330
    Found 5-bit register for signal .
3331
    Summary:
3332
        inferred   5 D-type flip-flop(s).
3333
Unit  synthesized.
3334
 
3335
 
3336
Synthesizing Unit .
3337
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3338
    Found 3-bit register for signal .
3339
    Summary:
3340
        inferred   3 D-type flip-flop(s).
3341
Unit  synthesized.
3342
 
3343
 
3344
Synthesizing Unit .
3345
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3346
    Found 2-bit register for signal .
3347
    Summary:
3348
        inferred   2 D-type flip-flop(s).
3349
Unit  synthesized.
3350
 
3351
 
3352
Synthesizing Unit .
3353
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3354
    Found 1-bit register for signal >.
3355
    Summary:
3356
        inferred   1 D-type flip-flop(s).
3357
Unit  synthesized.
3358
 
3359
 
3360
Synthesizing Unit .
3361
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3362
    Found 2-bit register for signal .
3363
    Summary:
3364
        inferred   2 D-type flip-flop(s).
3365
Unit  synthesized.
3366
 
3367
 
3368
Synthesizing Unit .
3369
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3370
    Found 3-bit register for signal .
3371
    Summary:
3372
        inferred   3 D-type flip-flop(s).
3373
Unit  synthesized.
3374
 
3375
 
3376
Synthesizing Unit .
3377
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3378
    Found 5-bit register for signal .
3379
    Summary:
3380
        inferred   5 D-type flip-flop(s).
3381
Unit  synthesized.
3382
 
3383
 
3384
Synthesizing Unit .
3385
    Related source file is "../../lib/gaisler/vlog/forward.v".
3386
    Found 5-bit comparator equal for signal  created at line 33.
3387
    Found 5-bit comparator equal for signal  created at line 33.
3388
    Summary:
3389
        inferred   2 Comparator(s).
3390
Unit  synthesized.
3391
 
3392
 
3393
Synthesizing Unit .
3394
    Related source file is "../../lib/gaisler/vlog/forward.v".
3395
    Found 5-bit register for signal .
3396
    Summary:
3397
        inferred   5 D-type flip-flop(s).
3398
Unit  synthesized.
3399
 
3400
 
3401
Synthesizing Unit .
3402
    Related source file is "../../lib/gaisler/vlog/ulit.v".
3403
    Found 1-bit register for signal >.
3404
    Summary:
3405
        inferred   1 D-type flip-flop(s).
3406
Unit  synthesized.
3407
 
3408
 
3409
Synthesizing Unit .
3410
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/icache.vhd".
3411
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3412
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3413
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3414
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3415
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3416
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3417
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3418
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3419
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3420
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3421
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3422
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3423
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3424
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3425
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3426
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3427
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3428
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3429
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3430
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3431
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3432
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3433
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3434
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3435
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3436
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3437
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3438
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3439
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3440
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3441
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3442
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3443
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3444
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3445
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3446
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3447
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3448
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3449
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3450
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3451
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3452
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3453
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3454
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3455
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3456
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3457
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3458
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3459
WARNING:Xst:1780 - Signal > is never used or assigned. This unconnected signal will be trimmed during the optimization process.
3460
WARNING:Xst:1781 - Signal > is used but never assigned. Tied to default value.
3461
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3462
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3463
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3464
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3465
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3466
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3467
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3468
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3469
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3470
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3471
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3472
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3473
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3474
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3475
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3476
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3477
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3478
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3479
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3480
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3481
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3482
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3483
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3484
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3485
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3486
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3487
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3488
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3489
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3490
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3491
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3492
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3493
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3494
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3495
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3496
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3497
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3498
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3499
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3500
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3501
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3502
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3503
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3504
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3505
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3506
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3507
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3508
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3509
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3510
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3511
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3512
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3513
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3514
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3515
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3516
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3517
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3518
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3519
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3520
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3521
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3522
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3523
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3524
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3525
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3526
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3527
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3528
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3529
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3530
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3531
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3532
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3533
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3534
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3535
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3536
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3537
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3538
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3539
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3540
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3541
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3542
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3543
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3544
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3545
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3546
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3547
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3548
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3549
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3550
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3551
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3552
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3553
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3554
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3555
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3556
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3557
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3558
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3559
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3560
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3561
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3562
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3563
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3564
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3565
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3566
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3567
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3568
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3569
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3570
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3571
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3572
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3573
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3574
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3575
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3576
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3577
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3578
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3579
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3580
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3581
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3582
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3583
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3584
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3585
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3586
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3587
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3588
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3589
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3590
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3591
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3592
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3593
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3594
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3595
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3596
WARNING:Xst:646 - Signal <0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3597
    Using one-hot encoding for signal .
3598
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 291 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
3599
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
3600
        - use the attribute 'signal_encoding user' to avoid onehot optimization
3601
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
3602
    Using one-hot encoding for signal .
3603
    Found 32-bit 4-to-1 multiplexer for signal .
3604
    Found 20-bit comparator equal for signal  created at line 261.
3605
    Found 1-bit register for signal .
3606
    Found 1-bit register for signal .
3607
    Found 7-bit up counter for signal .
3608
    Found 1-bit register for signal .
3609
    Found 1-bit register for signal .
3610
    Found 1-bit register for signal .
3611
    Found 1-bit register for signal .
3612
    Found 1-bit register for signal .
3613
    Found 3-bit register for signal .
3614
    Found 1-bit register for signal .
3615
    Found 1-bit register for signal .
3616
    Found 1-bit register for signal .
3617
    Found 1-bit register for signal .
3618
    Found 1-bit register for signal .
3619
    Found 1-bit register for signal .
3620
    Found 8-bit register for signal .
3621
    Found 30-bit register for signal .
3622
    Found 1-bit 8-to-1 multiplexer for signal  created at line 141.
3623
    Found 7-bit adder for signal  created at line 233.
3624
    Found 3-bit adder for signal  created at line 233.
3625
    Summary:
3626
        inferred   1 Counter(s).
3627
        inferred  54 D-type flip-flop(s).
3628
        inferred   2 Adder/Subtractor(s).
3629
        inferred   1 Comparator(s).
3630
        inferred  33 Multiplexer(s).
3631
Unit  synthesized.
3632
 
3633
 
3634
Synthesizing Unit .
3635
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/dcache.vhd".
3636
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3637
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3638
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3639
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
3640
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3641
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3642
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3643
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3644
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3645
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3646
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3647
WARNING:Xst:647 - Input <11:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3648
WARNING:Xst:647 - Input <11:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3649
WARNING:Xst:647 - Input <11:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3650
WARNING:Xst:647 - Input <11:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3651
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3652
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3653
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3654
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3655
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3656
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3657
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3658
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3659
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3660
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
3661
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3662
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3663
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3664
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3665
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3666
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3667
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
3668
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3669
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3670
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3671
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3672
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3673
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3674
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3675
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3676
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3677
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
3678
    Using one-hot encoding for signal .
3679
    Using one-hot encoding for signal .
3680
    Found 32x20-bit ROM for signal .
3681
    Found 5-bit 4-to-1 multiplexer for signal <$mux0140> created at line 214.
3682
    Found 2-bit adder for signal  created at line 233.
3683
    Found 20-bit comparator equal for signal  created at line 417.
3684
    Found 20-bit comparator equal for signal  created at line 417.
3685
    Found 20-bit comparator equal for signal  created at line 417.
3686
    Found 20-bit comparator equal for signal  created at line 417.
3687
    Found 4-bit register for signal .
3688
    Found 1-bit register for signal .
3689
    Found 1-bit register for signal .
3690
    Found 2-bit register for signal .
3691
    Found 1-bit register for signal .
3692
    Found 1-bit register for signal .
3693
    Found 2-bit register for signal .
3694
    Found 1-bit register for signal .
3695
    Found 6-bit register for signal .
3696
    Found 2-bit register for signal .
3697
    Found 8-bit up counter for signal .
3698
    Found 1-bit register for signal .
3699
    Found 1-bit register for signal .
3700
    Found 1-bit register for signal .
3701
    Found 1-bit register for signal .
3702
    Found 1-bit register for signal .
3703
    Found 1-bit register for signal .
3704
    Found 1-bit register for signal .
3705
    Found 1-bit register for signal .
3706
    Found 1-bit register for signal .
3707
    Found 1-bit register for signal .
3708
    Found 1-bit register for signal .
3709
    Found 1-bit register for signal .
3710
    Found 2-bit register for signal .
3711
    Found 2-bit register for signal .
3712
    Found 2-bit register for signal .
3713
    Found 1-bit register for signal .
3714
    Found 16-bit register for signal .
3715
    Found 32-bit register for signal .
3716
    Found 4-bit register for signal .
3717
    Found 32-bit register for signal .
3718
    Found 32-bit register for signal .
3719
    Found 1-bit register for signal .
3720
    Found 1-bit register for signal .
3721
    Found 2-bit register for signal .
3722
    Found 32-bit register for signal .
3723
    Found 28-bit comparator equal for signal  created at line 358.
3724
    Found 1-bit 4-to-1 multiplexer for signal  created at line 141.
3725
    Found 1-bit 4-to-1 multiplexer for signal  created at line 141.
3726
    Found 1-bit 4-to-1 multiplexer for signal  created at line 141.
3727
    Found 1-bit 4-to-1 multiplexer for signal  created at line 141.
3728
    Found 1280-bit register for signal .
3729
    Found 2-bit register for signal .
3730
    Found 8-bit register for signal .
3731
    Found 1-bit register for signal .
3732
    Found 28-bit register for signal .
3733
    Found 4-bit register for signal .
3734
    Found 1-bit register for signal .
3735
    Found 4-bit register for signal .
3736
    Found 20-bit comparator equal for signal  created at line 365.
3737
    Found 20-bit comparator equal for signal  created at line 365.
3738
    Found 20-bit comparator equal for signal  created at line 365.
3739
    Found 20-bit comparator equal for signal  created at line 365.
3740
    Found 2-bit comparator equal for signal  created at line 943.
3741
    Found 8-bit adder for signal  created at line 233.
3742
    Found 8-bit comparator equal for signal  created at line 934.
3743
    Found 5-bit 256-to-1 multiplexer for signal  created at line 888.
3744
    Found 5-bit 256-to-1 multiplexer for signal  created at line 639.
3745
INFO:Xst:738 - HDL ADVISOR - 1280 flip-flops were inferred for signal . You may be trying to describe a RAM in a way that is incompatible with block and distributed RAM resources available on Xilinx devices, or with a specific template that is not supported. Please review the Xilinx resources documentation and the XST user manual for coding guidelines. Taking advantage of RAM resources will lead to improved device usage and reduced synthesis time.
3746
    Summary:
3747
        inferred   1 ROM(s).
3748
        inferred   1 Counter(s).
3749
        inferred 1520 D-type flip-flop(s).
3750
        inferred   2 Adder/Subtractor(s).
3751
        inferred  11 Comparator(s).
3752
        inferred  19 Multiplexer(s).
3753
Unit  synthesized.
3754
 
3755
 
3756
Synthesizing Unit .
3757
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmu_acache.vhd".
3758
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3759
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3760
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3761
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3762
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3763
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3764
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3765
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3766
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3767
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3768
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3769
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3770
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3771
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3772
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3773
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3774
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3775
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3776
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3777
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3778
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3779
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3780
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3781
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3782
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3783
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3784
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3785
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3786
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3787
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3788
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3789
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3790
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3791
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3792
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3793
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3794
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3795
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3796
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3797
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3798
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3799
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3800
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3801
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3802
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3803
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3804
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3805
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3806
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3807
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3808
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3809
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3810
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3811
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3812
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3813
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3814
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3815
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3816
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3817
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3818
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3819
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3820
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3821
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3822
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3823
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3824
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3825
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3826
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3827
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3828
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3829
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3830
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3831
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3832
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3833
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3834
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3835
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3836
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3837
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3838
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3839
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3840
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3841
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3842
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3843
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3844
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3845
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3846
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3847
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3848
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3849
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3850
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3851
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3852
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3853
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3854
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3855
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3856
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3857
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3858
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3859
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3860
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3861
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3862
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3863
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3864
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3865
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3866
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3867
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3868
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3869
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3870
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3871
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3872
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3873
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3874
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3875
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3876
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3877
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3878
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3879
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3880
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3881
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3882
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3883
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3884
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3885
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3886
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3887
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3888
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3889
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3890
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3891
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3892
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3893
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3894
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3895
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3896
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3897
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3898
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3899
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3900
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3901
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3902
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3903
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3904
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3905
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3906
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3907
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3908
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3909
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3910
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3911
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3912
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3913
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3914
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3915
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3916
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3917
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3918
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3919
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3920
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3921
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3922
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3923
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3924
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3925
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3926
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3927
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3928
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3929
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3930
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3931
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3932
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3933
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3934
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3935
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3936
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3937
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3938
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3939
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3940
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3941
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3942
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3943
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3944
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3945
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3946
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3947
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3948
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3949
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0000.
3950
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3951
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3952
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3953
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3954
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3955
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3956
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3957
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3958
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3959
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3960
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3961
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3962
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3963
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3964
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3965
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3966
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3967
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3968
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3969
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3970
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3971
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3972
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3973
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3974
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3975
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3976
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3977
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3978
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3979
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3980
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3981
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3982
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3983
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3984
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3985
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3986
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3987
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3988
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3989
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0000.
3990
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3991
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3992
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3993
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3994
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3995
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3996
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3997
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3998
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
3999
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4000
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4001
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4002
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4003
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4004
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4005
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4006
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4007
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4008
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4009
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4010
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4011
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4012
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4013
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4014
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4015
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4016
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4017
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4018
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4019
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4020
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4021
WARNING:Xst:647 - Input <19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4022
WARNING:Xst:647 - Input <3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4023
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4024
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4025
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4026
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
4027
    Found 1-bit 4-to-1 multiplexer for signal .
4028
    Found 1-bit 4-to-1 multiplexer for signal .
4029
    Found 1-bit 4-to-1 multiplexer for signal .
4030
    Found 1-bit 4-to-1 multiplexer for signal .
4031
    Found 1-bit 4-to-1 multiplexer for signal .
4032
    Found 1-bit 4-to-1 multiplexer for signal .
4033
    Found 1-bit xor2 for signal  created at line 312.
4034
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4035
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4036
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4037
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4038
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4039
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4040
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4041
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4042
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4043
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4044
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4045
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4046
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4047
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4048
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4049
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4050
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4051
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4052
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4053
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4054
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4055
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4056
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4057
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4058
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4059
    Found 3-bit adder for signal  created at line 233.
4060
    Found 3-bit adder for signal  created at line 233.
4061
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4062
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4063
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4064
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4065
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4066
    Found 3-bit 4-to-1 multiplexer for signal  created at line 184.
4067
    Found 12-bit comparator equal for signal  created at line 500.
4068
    Found 12-bit comparator equal for signal  created at line 500.
4069
    Found 12-bit comparator equal for signal  created at line 500.
4070
    Found 12-bit comparator equal for signal  created at line 500.
4071
    Found 12-bit comparator equal for signal  created at line 500.
4072
    Found 12-bit comparator equal for signal  created at line 500.
4073
    Found 12-bit comparator equal for signal  created at line 500.
4074
    Found 12-bit comparator equal for signal  created at line 500.
4075
    Found 12-bit comparator equal for signal  created at line 500.
4076
    Found 12-bit comparator equal for signal  created at line 500.
4077
    Found 12-bit comparator equal for signal  created at line 500.
4078
    Found 12-bit comparator equal for signal  created at line 500.
4079
    Found 12-bit comparator equal for signal  created at line 500.
4080
    Found 12-bit comparator equal for signal  created at line 500.
4081
    Found 12-bit comparator equal for signal  created at line 500.
4082
    Found 12-bit comparator equal for signal  created at line 500.
4083
    Found 12-bit comparator equal for signal  created at line 500.
4084
    Found 12-bit comparator equal for signal  created at line 500.
4085
    Found 12-bit comparator equal for signal  created at line 500.
4086
    Found 12-bit comparator equal for signal  created at line 500.
4087
    Found 12-bit comparator equal for signal  created at line 500.
4088
    Found 12-bit comparator equal for signal  created at line 500.
4089
    Found 12-bit comparator equal for signal  created at line 500.
4090
    Found 12-bit comparator equal for signal  created at line 500.
4091
    Found 12-bit comparator equal for signal  created at line 500.
4092
    Found 12-bit comparator equal for signal  created at line 500.
4093
    Found 12-bit comparator equal for signal  created at line 500.
4094
    Found 12-bit comparator equal for signal  created at line 500.
4095
    Found 12-bit comparator equal for signal  created at line 500.
4096
    Found 12-bit comparator equal for signal  created at line 500.
4097
    Found 12-bit comparator equal for signal  created at line 500.
4098
    Found 12-bit comparator equal for signal  created at line 500.
4099
    Found 12-bit comparator equal for signal  created at line 500.
4100
    Found 12-bit comparator equal for signal  created at line 500.
4101
    Found 12-bit comparator equal for signal  created at line 500.
4102
    Found 12-bit comparator equal for signal  created at line 500.
4103
    Found 12-bit comparator equal for signal  created at line 500.
4104
    Found 12-bit comparator equal for signal  created at line 500.
4105
    Found 12-bit comparator equal for signal  created at line 500.
4106
    Found 12-bit comparator equal for signal  created at line 500.
4107
    Found 12-bit comparator equal for signal  created at line 500.
4108
    Found 12-bit comparator equal for signal  created at line 500.
4109
    Found 12-bit comparator equal for signal  created at line 500.
4110
    Found 12-bit comparator equal for signal  created at line 500.
4111
    Found 12-bit comparator equal for signal  created at line 500.
4112
    Found 12-bit comparator equal for signal  created at line 500.
4113
    Found 12-bit comparator equal for signal  created at line 500.
4114
    Found 12-bit comparator equal for signal  created at line 500.
4115
    Found 12-bit comparator equal for signal  created at line 500.
4116
    Found 12-bit comparator equal for signal  created at line 500.
4117
    Found 12-bit comparator equal for signal  created at line 500.
4118
    Found 12-bit comparator equal for signal  created at line 500.
4119
    Found 12-bit comparator equal for signal  created at line 500.
4120
    Found 12-bit comparator equal for signal  created at line 500.
4121
    Found 12-bit comparator equal for signal  created at line 500.
4122
    Found 12-bit comparator equal for signal  created at line 500.
4123
    Found 12-bit comparator equal for signal  created at line 500.
4124
    Found 12-bit comparator equal for signal  created at line 500.
4125
    Found 12-bit comparator equal for signal  created at line 500.
4126
    Found 12-bit comparator equal for signal  created at line 500.
4127
    Found 12-bit comparator equal for signal  created at line 500.
4128
    Found 12-bit comparator equal for signal  created at line 500.
4129
    Found 12-bit comparator equal for signal  created at line 500.
4130
    Found 12-bit comparator equal for signal  created at line 500.
4131
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4132
    Found 3-bit 4-to-1 multiplexer for signal  created at line 184.
4133
    Found 1-bit register for signal .
4134
    Found 1-bit register for signal .
4135
    Found 2-bit register for signal .
4136
    Found 1-bit register for signal .
4137
    Found 1-bit 4-to-1 multiplexer for signal .
4138
    Found 1-bit register for signal .
4139
    Found 1-bit register for signal .
4140
    Found 1-bit register for signal .
4141
    Found 1-bit register for signal .
4142
    Found 2-bit register for signal .
4143
    Found 1-bit register for signal .
4144
    Found 1-bit register for signal .
4145
    Found 1-bit register for signal .
4146
    Found 1-bit 4-to-1 multiplexer for signal  created at line 184.
4147
    Summary:
4148
        inferred  14 D-type flip-flop(s).
4149
        inferred   2 Adder/Subtractor(s).
4150
        inferred  64 Comparator(s).
4151
        inferred  45 Multiplexer(s).
4152
Unit  synthesized.
4153
 
4154
 
4155
Synthesizing Unit .
4156
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/inferred/memory_inferred.vhd".
4157
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4158
    Found 32x32-bit dual-port RAM  for signal .
4159
    Found 32x32-bit dual-port RAM  for signal .
4160
    Found 32-bit register for signal .
4161
    Found 5-bit register for signal .
4162
    Found 5-bit register for signal .
4163
    Found 5-bit comparator equal for signal  created at line 177.
4164
    Found 5-bit comparator equal for signal  created at line 179.
4165
    Found 5-bit register for signal .
4166
    Found 1-bit register for signal .
4167
    Summary:
4168
        inferred   2 RAM(s).
4169
        inferred  48 D-type flip-flop(s).
4170
        inferred   2 Comparator(s).
4171
Unit  synthesized.
4172
 
4173
 
4174
Synthesizing Unit .
4175
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/ahbmst.vhd".
4176
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4177
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4178
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4179
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4180
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4181
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4182
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4183
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4184
    Found 1-bit register for signal .
4185
    Found 1-bit register for signal .
4186
    Found 1-bit register for signal .
4187
    Found 1-bit register for signal .
4188
    Summary:
4189
        inferred   4 D-type flip-flop(s).
4190
Unit  synthesized.
4191
 
4192
 
4193
Synthesizing Unit .
4194
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/dcom_uart.vhd".
4195
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4196
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4197
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4198
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4199
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4200
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
4201
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
4202
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4203
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4204
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4205
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
4206
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4207
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4208
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4209
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4210
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 240 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
4211
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
4212
        - use the attribute 'signal_encoding user' to avoid onehot optimization
4213
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
4214
    Using one-hot encoding for signal .
4215
    Using one-hot encoding for signal .
4216
    Found 18-bit register for signal .
4217
    Found 14-bit comparator lessequal for signal  created at line 128.
4218
    Found 14-bit comparator not equal for signal  created at line 132.
4219
    Found 1-bit register for signal .
4220
    Found 1-bit register for signal .
4221
    Found 1-bit register for signal .
4222
    Found 1-bit register for signal .
4223
    Found 1-bit register for signal .
4224
    Found 8-bit register for signal .
4225
    Found 1-bit register for signal .
4226
    Found 8-bit register for signal .
4227
    Found 3-bit register for signal .
4228
    Found 1-bit register for signal .
4229
    Found 7-bit comparator equal for signal  created at line 201.
4230
    Found 1-bit register for signal .
4231
    Found 1-bit register for signal .
4232
    Found 8-bit register for signal .
4233
    Found 4-bit register for signal .
4234
    Found 1-bit register for signal .
4235
    Found 18-bit register for signal .
4236
    Found 2-bit register for signal .
4237
    Found 1-bit register for signal .
4238
    Found 8-bit register for signal .
4239
    Found 1-bit register for signal .
4240
    Found 1-bit register for signal .
4241
    Found 11-bit register for signal .
4242
    Found 3-bit register for signal .
4243
    Found 3-bit register for signal .
4244
    Found 1-bit register for signal .
4245
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
4246
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
4247
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
4248
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
4249
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
4250
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
4251
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
4252
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
4253
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
4254
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
4255
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
4256
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
4257
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
4258
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
4259
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
4260
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
4261
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
4262
    Found 1-bit 4-to-1 multiplexer for signal  created at line 158.
4263
    Found 3-bit adder for signal  created at line 233.
4264
    Found 18-bit addsub for signal  created at line 114.
4265
    Found 3-bit adder for signal  created at line 233.
4266
    Found 14-bit comparator equal for signal  created at line 132.
4267
    Found 2-bit adder for signal  created at line 233.
4268
    Found 14-bit comparator greater for signal  created at line 128.
4269
    Summary:
4270
        inferred 108 D-type flip-flop(s).
4271
        inferred   4 Adder/Subtractor(s).
4272
        inferred   5 Comparator(s).
4273
        inferred  18 Multiplexer(s).
4274
Unit  synthesized.
4275
 
4276
 
4277
Synthesizing Unit .
4278
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/dcom.vhd".
4279
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4280
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4281
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4282
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4283
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4284
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4285
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4286
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4287
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4288
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4289
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4290
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4291
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4292
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4293
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4294
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4295
    Using one-hot encoding for signal .
4296
    Found 30-bit adder for signal  created at line 233.
4297
    Found 6-bit subtractor for signal  created at line 273.
4298
    Found 32-bit register for signal .
4299
    Found 2-bit register for signal .
4300
    Found 32-bit register for signal .
4301
    Found 6-bit register for signal .
4302
    Found 6-bit register for signal .
4303
    Found 1-bit register for signal .
4304
    Found 2-bit adder for signal  created at line 233.
4305
    Summary:
4306
        inferred  79 D-type flip-flop(s).
4307
        inferred   3 Adder/Subtractor(s).
4308
Unit  synthesized.
4309
 
4310
 
4311
Synthesizing Unit .
4312
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/ahbmst.vhd".
4313
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4314
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4315
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4316
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4317
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4318
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4319
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4320
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4321
    Found 1-bit register for signal .
4322
    Found 1-bit register for signal .
4323
    Found 1-bit register for signal .
4324
    Found 1-bit register for signal .
4325
    Summary:
4326
        inferred   4 D-type flip-flop(s).
4327
Unit  synthesized.
4328
 
4329
 
4330
Synthesizing Unit .
4331
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/jtag/jtagcom.vhd".
4332
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4333
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4334
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4335
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4336
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4337
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4338
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4339
    Found 35-bit register for signal .
4340
    Found 1-bit register for signal >.
4341
    Found 33-bit register for signal .
4342
    Found 1-bit register for signal >.
4343
    Found 1-bit register for signal >.
4344
    Found 1-bit register for signal .
4345
    Found 1-bit register for signal .
4346
    Found 1-bit register for signal >.
4347
    Found 1-bit register for signal >.
4348
    Found 1-bit register for signal .
4349
    Found 1-bit register for signal >.
4350
    Found 1-bit register for signal .
4351
    Found 1-bit register for signal >.
4352
    Found 8-bit adder for signal  created at line 233.
4353
    Summary:
4354
        inferred  79 D-type flip-flop(s).
4355
        inferred   1 Adder/Subtractor(s).
4356
Unit  synthesized.
4357
 
4358
 
4359
Synthesizing Unit .
4360
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/memctrl/sdmctrl.vhd".
4361
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4362
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4363
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4364
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
4365
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4366
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4367
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4368
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4369
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4370
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4371
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4372
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4373
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4374
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4375
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4376
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4377
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4378
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4379
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4380
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4381
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4382
    Using one-hot encoding for signal .
4383
    Using one-hot encoding for signal .
4384
    Using one-hot encoding for signal .
4385
    Using one-hot encoding for signal .
4386
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 246 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
4387
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
4388
        - use the attribute 'signal_encoding user' to avoid onehot optimization
4389
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
4390
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 0 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
4391
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
4392
        - use the attribute 'signal_encoding user' to avoid onehot optimization
4393
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
4394
    Found 15-bit register for signal .
4395
    Found 1-bit register for signal .
4396
    Found 1-bit register for signal .
4397
    Found 1-bit register for signal .
4398
    Found 1-bit register for signal .
4399
    Found 1-bit register for signal .
4400
    Found 3-bit register for signal .
4401
    Found 1-bit register for signal .
4402
    Found 1-bit register for signal .
4403
    Found 3-bit register for signal .
4404
    Found 2-bit register for signal .
4405
    Found 4-bit register for signal .
4406
    Found 2-bit register for signal .
4407
    Found 6-bit register for signal .
4408
    Found 3-bit register for signal .
4409
    Found 15-bit register for signal .
4410
    Found 1-bit register for signal .
4411
    Found 4-bit register for signal .
4412
    Found 3-bit register for signal .
4413
    Found 1-bit register for signal .
4414
    Found 4-bit register for signal .
4415
    Found 3-bit register for signal .
4416
    Found 8-bit register for signal .
4417
    Found 22-bit register for signal .
4418
    Found 1-bit register for signal .
4419
    Found 1-bit register for signal .
4420
    Found 3-bit register for signal .
4421
    Found 3-bit subtractor for signal  created at line 273.
4422
    Found 4-bit register for signal .
4423
    Found 6-bit register for signal .
4424
    Found 2-bit register for signal .
4425
    Found 1-bit register for signal .
4426
    Found 15-bit register for signal .
4427
    Found 2-bit register for signal .
4428
    Found 19-bit register for signal .
4429
    Found 1-bit register for signal .
4430
    Found 3-bit register for signal .
4431
    Found 1-bit register for signal .
4432
    Found 4-bit register for signal .
4433
    Found 13-bit 4-to-1 multiplexer for signal  created at line 215.
4434
    Found 1-bit 8-to-1 multiplexer for signal  created at line 141.
4435
    Found 1-bit 8-to-1 multiplexer for signal  created at line 141.
4436
    Found 1-bit 8-to-1 multiplexer for signal  created at line 141.
4437
    Found 3-bit comparator equal for signal  created at line 379.
4438
    Found 3-bit comparator equal for signal  created at line 398.
4439
    Found 5-bit adder for signal  created at line 233.
4440
    Found 3-bit comparator not equal for signal  created at line 609.
4441
    Found 2-bit comparator not equal for signal  created at line 606.
4442
    Found 2-bit comparator not equal for signal  created at line 603.
4443
    Found 4-bit subtractor for signal  created at line 273.
4444
    Found 22-bit comparator equal for signal  created at line 311.
4445
    Found 15-bit subtractor for signal  created at line 273.
4446
    Found 4-bit subtractor for signal  created at line 273.
4447
    Summary:
4448
        inferred 169 D-type flip-flop(s).
4449
        inferred   5 Adder/Subtractor(s).
4450
        inferred   6 Comparator(s).
4451
        inferred  16 Multiplexer(s).
4452
Unit  synthesized.
4453
 
4454
 
4455
Synthesizing Unit .
4456
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/ahbuart.vhd".
4457
Unit  synthesized.
4458
 
4459
 
4460
Synthesizing Unit .
4461
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/esa/memoryctrl/mctrl.vhd".
4462
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4463
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4464
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4465
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4466
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4467
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4468
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4469
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4470
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4471
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4472
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
4473
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4474
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4475
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4476
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4477
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4478
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4479
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4480
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4481
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4482
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
4483
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4484
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4485
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4486
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4487
INFO:Xst:2117 - HDL ADVISOR - Mux Selector  of Case statement line 474 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
4488
        - add an 'INIT' attribute on signal  (optimization is then done without any risk)
4489
        - use the attribute 'signal_encoding user' to avoid onehot optimization
4490
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
4491
    Using one-hot encoding for signal .
4492
    Found 32-bit 4-to-1 multiplexer for signal  created at line 416.
4493
    Found 32-bit register for signal .
4494
    Found 3-bit register for signal .
4495
    Found 4-bit register for signal .
4496
    Found 1-bit register for signal .
4497
    Found 1-bit register for signal .
4498
    Found 1-bit register for signal .
4499
    Found 8-bit register for signal .
4500
    Found 2-bit register for signal .
4501
    Found 32-bit register for signal .
4502
    Found 1-bit register for signal .
4503
    Found 32-bit register for signal .
4504
    Found 3-bit register for signal .
4505
    Found 2-bit register for signal .
4506
    Found 1-bit register for signal .
4507
    Found 2-bit register for signal .
4508
    Found 1-bit register for signal .
4509
    Found 2-bit register for signal .
4510
    Found 4-bit register for signal .
4511
    Found 1-bit register for signal .
4512
    Found 1-bit register for signal .
4513
    Found 1-bit register for signal .
4514
    Found 2-bit register for signal .
4515
    Found 4-bit register for signal .
4516
    Found 4-bit register for signal .
4517
    Found 2-bit register for signal .
4518
    Found 1-bit register for signal .
4519
    Found 4-bit register for signal .
4520
    Found 4-bit register for signal .
4521
    Found 2-bit register for signal .
4522
    Found 2-bit register for signal .
4523
    Found 2-bit register for signal .
4524
    Found 1-bit register for signal .
4525
    Found 1-bit register for signal .
4526
    Found 1-bit register for signal .
4527
    Found 1-bit register for signal .
4528
    Found 5-bit register for signal .
4529
    Found 5-bit register for signal .
4530
    Found 1-bit register for signal .
4531
    Found 32-bit register for signal .
4532
    Found 1-bit register for signal .
4533
    Found 1-bit register for signal .
4534
    Found 2-bit register for signal .
4535
    Found 15-bit register for signal .
4536
    Found 1-bit register for signal .
4537
    Found 64-bit register for signal .
4538
    Found 2-bit register for signal .
4539
    Found 1-bit register for signal .
4540
    Found 32-bit register for signal .
4541
    Found 16-bit register for signal .
4542
    Found 1-bit register for signal .
4543
    Found 4-bit register for signal .
4544
    Found 4-bit register for signal .
4545
    Found 32-bit register for signal .
4546
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4547
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4548
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4549
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4550
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4551
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4552
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4553
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4554
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4555
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4556
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4557
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4558
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4559
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4560
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4561
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4562
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4563
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4564
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4565
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4566
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4567
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4568
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4569
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4570
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4571
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4572
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4573
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4574
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4575
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4576
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4577
    Found 1-bit 4-to-1 multiplexer for signal  created at line 697.
4578
    Found 1-bit 16-to-1 multiplexer for signal  created at line 141.
4579
    Found 1-bit 16-to-1 multiplexer for signal  created at line 141.
4580
    Found 64-bit register for signal .
4581
    Found 2-bit adder for signal  created at line 233.
4582
    Found 4-bit subtractor for signal  created at line 273.
4583
    Summary:
4584
        inferred 449 D-type flip-flop(s).
4585
        inferred   2 Adder/Subtractor(s).
4586
        inferred  66 Multiplexer(s).
4587
Unit  synthesized.
4588
 
4589
 
4590
Synthesizing Unit .
4591
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd".
4592
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4593
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4594
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4595
WARNING:Xst:1780 - Signal 
    is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4596
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4597
Unit  synthesized.
4598
 
4599
 
4600
Synthesizing Unit .
4601
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd".
4602
Unit  synthesized.
4603
 
4604
 
4605
Synthesizing Unit .
4606
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd".
4607
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4608
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4609
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4610
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4611
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4612
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4613
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4614
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4615
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4616
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4617
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4618
    Found 4-bit register for signal .
4619
    Summary:
4620
        inferred   4 D-type flip-flop(s).
4621
Unit  synthesized.
4622
 
4623
 
4624
Synthesizing Unit .
4625
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd".
4626
Unit  synthesized.
4627
 
4628
 
4629
Synthesizing Unit .
4630
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/regfile_3p.vhd".
4631
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4632
Unit  synthesized.
4633
 
4634
 
4635
Synthesizing Unit .
4636
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cache.vhd".
4637
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4638
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4639
Unit  synthesized.
4640
 
4641
 
4642
Synthesizing Unit .
4643
    Related source file is "../../lib/gaisler/vlog/RF_stage1.v".
4644
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4645
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4646
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4647
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4648
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4649
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4650
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4651
Unit  synthesized.
4652
 
4653
 
4654
Synthesizing Unit .
4655
    Related source file is "../../lib/gaisler/vlog/forward.v".
4656
Unit  synthesized.
4657
 
4658
 
4659
Synthesizing Unit .
4660
    Related source file is "../../lib/gaisler/vlog/hazard_unit.v".
4661
Unit  synthesized.
4662
 
4663
 
4664
Synthesizing Unit .
4665
    Related source file is "../../lib/gaisler/vlog/EXEC_stage.v".
4666
Unit  synthesized.
4667
 
4668
 
4669
Synthesizing Unit .
4670
    Related source file is "../../lib/gaisler/vlog/decode_pipe1.v".
4671
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4672
Unit  synthesized.
4673
 
4674
 
4675
Synthesizing Unit .
4676
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd".
4677
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4678
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4679
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4680
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4681
Unit  synthesized.
4682
 
4683
 
4684
Synthesizing Unit .
4685
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd".
4686
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4687
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4688
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4689
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4690
Unit  synthesized.
4691
 
4692
 
4693
Synthesizing Unit .
4694
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd".
4695
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4696
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4697
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4698
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4699
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4700
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4701
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4702
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4703
Unit  synthesized.
4704
 
4705
 
4706
Synthesizing Unit .
4707
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd".
4708
Unit  synthesized.
4709
 
4710
 
4711
Synthesizing Unit .
4712
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd".
4713
Unit  synthesized.
4714
 
4715
 
4716
Synthesizing Unit .
4717
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/tap_unisim.vhd".
4718
Unit  synthesized.
4719
 
4720
 
4721
Synthesizing Unit .
4722
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd".
4723
Unit  synthesized.
4724
 
4725
 
4726
Synthesizing Unit .
4727
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkpad.vhd".
4728
Unit  synthesized.
4729
 
4730
 
4731
Synthesizing Unit .
4732
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/inpad.vhd".
4733
Unit  synthesized.
4734
 
4735
 
4736
Synthesizing Unit .
4737
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkgen.vhd".
4738
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
4739
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4740
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4741
Unit  synthesized.
4742
 
4743
 
4744
Synthesizing Unit .
4745
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd".
4746
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4747
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4748
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4749
Unit  synthesized.
4750
 
4751
 
4752
Synthesizing Unit .
4753
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/odpad.vhd".
4754
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4755
Unit  synthesized.
4756
 
4757
 
4758
Synthesizing Unit .
4759
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd".
4760
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4761
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4762
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4763
Unit  synthesized.
4764
 
4765
 
4766
Synthesizing Unit .
4767
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/iopad.vhd".
4768
Unit  synthesized.
4769
 
4770
 
4771
Synthesizing Unit .
4772
    Related source file is "../../lib/gaisler/vlog/EXEC_stage.v".
4773
Unit  synthesized.
4774
 
4775
 
4776
Synthesizing Unit .
4777
    Related source file is "../../lib/gaisler/vlog/decode_pipe1.v".
4778
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4779
Unit  synthesized.
4780
 
4781
 
4782
Synthesizing Unit .
4783
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram.vhd".
4784
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4785
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4786
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4787
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4788
Unit  synthesized.
4789
 
4790
 
4791
Synthesizing Unit .
4792
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram.vhd".
4793
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4794
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4795
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4796
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4797
Unit  synthesized.
4798
 
4799
 
4800
Synthesizing Unit .
4801
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram_dp.vhd".
4802
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4803
Unit  synthesized.
4804
 
4805
 
4806
Synthesizing Unit .
4807
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/tap.vhd".
4808
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4809
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4810
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
4811
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4812
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4813
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4814
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4815
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4816
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
4817
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4818
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000.
4819
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4820
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4821
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4822
Unit  synthesized.
4823
 
4824
 
4825
Synthesizing Unit .
4826
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd".
4827
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4828
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4829
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4830
Unit  synthesized.
4831
 
4832
 
4833
Synthesizing Unit .
4834
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/iopad.vhd".
4835
Unit  synthesized.
4836
 
4837
 
4838
Synthesizing Unit .
4839
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/jtag/ahbjtag.vhd".
4840
Unit  synthesized.
4841
 
4842
 
4843
Synthesizing Unit .
4844
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd".
4845
Unit  synthesized.
4846
 
4847
 
4848
Synthesizing Unit .
4849
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd".
4850
Unit  synthesized.
4851
 
4852
 
4853
Synthesizing Unit .
4854
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd".
4855
Unit  synthesized.
4856
 
4857
 
4858
Synthesizing Unit .
4859
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd".
4860
Unit  synthesized.
4861
 
4862
 
4863
Synthesizing Unit .
4864
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/iopad.vhd".
4865
Unit  synthesized.
4866
 
4867
 
4868
Synthesizing Unit .
4869
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd".
4870
Unit  synthesized.
4871
 
4872
 
4873
Synthesizing Unit .
4874
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd".
4875
WARNING:Xst:647 - Input <11:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4876
WARNING:Xst:647 - Input <11:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4877
WARNING:Xst:647 - Input <11:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4878
WARNING:Xst:647 - Input <11:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4879
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4880
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4881
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4882
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4883
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4884
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4885
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4886
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4887
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4888
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4889
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4890
WARNING:Xst:647 - Input <11:10>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4891
WARNING:Xst:647 - Input <8:4>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4892
WARNING:Xst:647 - Input <11:10>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4893
WARNING:Xst:647 - Input <8:4>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4894
WARNING:Xst:647 - Input <11:10>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4895
WARNING:Xst:647 - Input <8:4>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4896
WARNING:Xst:647 - Input <11:10>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4897
WARNING:Xst:647 - Input <8:4>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4898
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4899
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4900
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4901
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4902
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4903
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4904
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4905
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4906
WARNING:Xst:647 - Input <11:10>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4907
WARNING:Xst:647 - Input <8>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4908
WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4909
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4910
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4911
WARNING:Xst:1780 - Signal > is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4912
WARNING:Xst:1781 - Signal > is used but never assigned. Tied to default value.
4913
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4914
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4915
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4916
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4917
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4918
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4919
WARNING:Xst:646 - Signal <28>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4920
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4921
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4922
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4923
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4924
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4925
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4926
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4927
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4928
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4929
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4930
WARNING:Xst:646 - Signal <24>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4931
WARNING:Xst:646 - Signal <24>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4932
WARNING:Xst:646 - Signal <24>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4933
WARNING:Xst:646 - Signal <24>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4934
WARNING:Xst:646 - Signal <24>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4935
WARNING:Xst:646 - Signal <24>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4936
WARNING:Xst:646 - Signal <24>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4937
WARNING:Xst:646 - Signal <24>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
4938
Unit  synthesized.
4939
 
4940
 
4941
Synthesizing Unit .
4942
    Related source file is "../../lib/gaisler/vlog/core1.v".
4943
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4944
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4945
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4946
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4947
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4948
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4949
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4950
Unit  synthesized.
4951
 
4952
 
4953
Synthesizing Unit .
4954
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/top.vhd".
4955
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000.
4956
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
4957
Unit  synthesized.
4958
 
4959
 
4960
Synthesizing Unit .
4961
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/proc3.vhd".
4962
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
4963
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
4964
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00.
4965
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4966
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4967
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00.
4968
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4969
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4970
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4971
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4972
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
4973
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00.
4974
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
4975
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4976
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
4977
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4978
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
4979
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4980
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
4981
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
4982
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
4983
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
4984
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
4985
WARNING:Xst:1305 - Output  is never assigned. Tied to value 000000000000.
4986
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
4987
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
4988
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
4989
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4990
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
4991
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
4992
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4993
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4994
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
4995
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0000.
4996
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00.
4997
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
4998
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
4999
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5000
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5001
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5002
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5003
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5004
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
5005
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
5006
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00.
5007
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5008
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5009
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5010
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
5011
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5012
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
5013
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5014
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0000.
5015
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
5016
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5017
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5018
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5019
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
5020
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5021
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5022
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5023
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5024
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5025
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5026
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5027
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5028
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5029
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00.
5030
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5031
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5032
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5033
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5034
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5035
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
5036
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
5037
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5038
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
5039
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00.
5040
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5041
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5042
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5043
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
5044
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
5045
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000.
5046
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5047
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
5048
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5049
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5050
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000.
5051
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5052
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5053
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5054
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
5055
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5056
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00.
5057
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000.
5058
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
5059
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5060
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5061
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5062
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5063
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
5064
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5065
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5066
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5067
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00.
5068
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000.
5069
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0000.
5070
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5071
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5072
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5073
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5074
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
5075
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5076
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000.
5077
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5078
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
5079
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5080
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5081
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0000.
5082
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5083
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5084
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
5085
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5086
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5087
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00000000000000000000000000000000.
5088
WARNING:Xst:1305 - Output  is never assigned. Tied to value 00.
5089
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5090
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5091
WARNING:Xst:1305 - Output  is never assigned. Tied to value 0.
5092
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5093
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5094
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5095
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5096
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5097
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5098
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5099
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000000000000000000000000000000000.
5100
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000000000000000000000000000000000.
5101
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5102
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5103
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000000000000000000000000000.
5104
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5105
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5106
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5107
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5108
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5109
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5110
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5111
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5112
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5113
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5114
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5115
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5116
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5117
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000000000000000000000000000000000.
5118
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5119
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5120
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000000000000000000000000000000000.
5121
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000000000000000000000000000000000.
5122
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5123
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5124
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5125
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5126
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5127
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5128
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5129
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5130
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5131
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5132
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5133
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5134
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5135
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5136
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5137
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5138
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5139
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5140
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5141
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5142
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5143
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5144
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5145
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5146
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5147
Unit  synthesized.
5148
 
5149
 
5150
Synthesizing Unit .
5151
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/leon3s.vhd".
5152
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5153
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000.
5154
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5155
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5156
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5157
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5158
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5159
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5160
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5161
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5162
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5163
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5164
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5165
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5166
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5167
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5168
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5169
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5170
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5171
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5172
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5173
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5174
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5175
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5176
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5177
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5178
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5179
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5180
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5181
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5182
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5183
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5184
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5185
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5186
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5187
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5188
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5189
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5190
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5191
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5192
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5193
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5194
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5195
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5196
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5197
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5198
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5199
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5200
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5201
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5202
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5203
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5204
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5205
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5206
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5207
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5208
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5209
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5210
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5211
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5212
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5213
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5214
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5215
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5216
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5217
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5218
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5219
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5220
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5221
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5222
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5223
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5224
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5225
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5226
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5227
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5228
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5229
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5230
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5231
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5232
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5233
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5234
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5235
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5236
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5237
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5238
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5239
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5240
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5241
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5242
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5243
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5244
    Found 1-bit register for signal .
5245
    Summary:
5246
        inferred   1 D-type flip-flop(s).
5247
Unit  synthesized.
5248
 
5249
 
5250
Synthesizing Unit .
5251
    Related source file is "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd".
5252
WARNING:Xst:2565 - Inout  is never assigned.
5253
WARNING:Xst:2565 - Inout  is never assigned.
5254
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5255
WARNING:Xst:2565 - Inout  is never assigned.
5256
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5257
WARNING:Xst:2565 - Inout  is never assigned.
5258
WARNING:Xst:2565 - Inout  is never assigned.
5259
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5260
WARNING:Xst:1306 - Output  is never assigned.
5261
WARNING:Xst:2565 - Inout  is never assigned.
5262
WARNING:Xst:2565 - Inout  is never assigned.
5263
WARNING:Xst:1306 - Output  is never assigned.
5264
WARNING:Xst:2565 - Inout  is never assigned.
5265
WARNING:Xst:1306 - Output  is never assigned.
5266
WARNING:Xst:2565 - Inout  is never assigned.
5267
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5268
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5269
WARNING:Xst:1306 - Output  is never assigned.
5270
WARNING:Xst:2565 - Inout  is never assigned.
5271
WARNING:Xst:2565 - Inout  is never assigned.
5272
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5273
WARNING:Xst:1306 - Output  is never assigned.
5274
WARNING:Xst:2565 - Inout  is never assigned.
5275
WARNING:Xst:2565 - Inout  is never assigned.
5276
WARNING:Xst:2565 - Inout  is never assigned.
5277
WARNING:Xst:2565 - Inout  is never assigned.
5278
WARNING:Xst:2565 - Inout  is never assigned.
5279
WARNING:Xst:2565 - Inout  is never assigned.
5280
WARNING:Xst:2565 - Inout  is never assigned.
5281
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5282
WARNING:Xst:2565 - Inout  is never assigned.
5283
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5284
WARNING:Xst:2565 - Inout  is never assigned.
5285
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5286
WARNING:Xst:2565 - Inout  is never assigned.
5287
WARNING:Xst:2565 - Inout  is never assigned.
5288
WARNING:Xst:2565 - Inout  is never assigned.
5289
WARNING:Xst:2565 - Inout  is never assigned.
5290
WARNING:Xst:2565 - Inout  is never assigned.
5291
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5292
WARNING:Xst:2565 - Inout  is never assigned.
5293
WARNING:Xst:1306 - Output  is never assigned.
5294
WARNING:Xst:2565 - Inout  is never assigned.
5295
WARNING:Xst:2565 - Inout  is never assigned.
5296
WARNING:Xst:1306 - Output  is never assigned.
5297
WARNING:Xst:2565 - Inout  is never assigned.
5298
WARNING:Xst:1306 - Output  is never assigned.
5299
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5300
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5301
WARNING:Xst:2565 - Inout  is never assigned.
5302
WARNING:Xst:2565 - Inout  is never assigned.
5303
WARNING:Xst:2565 - Inout  is never assigned.
5304
WARNING:Xst:2565 - Inout  is never assigned.
5305
WARNING:Xst:1306 - Output  is never assigned.
5306
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5307
WARNING:Xst:1306 - Output  is never assigned.
5308
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5309
WARNING:Xst:2565 - Inout  is never assigned.
5310
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5311
WARNING:Xst:2565 - Inout  is never assigned.
5312
WARNING:Xst:1306 - Output  is never assigned.
5313
WARNING:Xst:1306 - Output  is never assigned.
5314
WARNING:Xst:1306 - Output  is never assigned.
5315
WARNING:Xst:2565 - Inout  is never assigned.
5316
WARNING:Xst:2565 - Inout  is never assigned.
5317
WARNING:Xst:1306 - Output  is never assigned.
5318
WARNING:Xst:2565 - Inout  is never assigned.
5319
WARNING:Xst:2565 - Inout  is never assigned.
5320
WARNING:Xst:1306 - Output  is never assigned.
5321
WARNING:Xst:2565 - Inout  is never assigned.
5322
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5323
WARNING:Xst:2565 - Inout  is never assigned.
5324
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5325
WARNING:Xst:2565 - Inout  is never assigned.
5326
WARNING:Xst:2565 - Inout  is never assigned.
5327
WARNING:Xst:2565 - Inout  is never assigned.
5328
WARNING:Xst:2565 - Inout  is never assigned.
5329
WARNING:Xst:1306 - Output  is never assigned.
5330
WARNING:Xst:2565 - Inout  is never assigned.
5331
WARNING:Xst:2565 - Inout  is never assigned.
5332
WARNING:Xst:1306 - Output  is never assigned.
5333
WARNING:Xst:1306 - Output  is never assigned.
5334
WARNING:Xst:2565 - Inout  is never assigned.
5335
WARNING:Xst:1306 - Output  is never assigned.
5336
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5337
WARNING:Xst:1306 - Output  is never assigned.
5338
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5339
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5340
WARNING:Xst:1306 - Output  is never assigned.
5341
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5342
WARNING:Xst:1306 - Output  is never assigned.
5343
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5344
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5345
WARNING:Xst:2565 - Inout  is never assigned.
5346
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5347
WARNING:Xst:2565 - Inout  is never assigned.
5348
WARNING:Xst:647 - Input  is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
5349
WARNING:Xst:2565 - Inout  is never assigned.
5350
WARNING:Xst:1306 - Output  is never assigned.
5351
WARNING:Xst:2565 - Inout  is never assigned.
5352
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5353
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5354
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5355
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5356
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5357
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5358
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5359
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5360
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5361
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5362
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5363
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5364
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5365
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5366
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5367
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5368
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5369
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5370
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5371
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5372
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5373
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5374
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5375
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5376
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5377
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5378
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5379
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5380
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5381
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5382
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5383
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5384
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5385
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5386
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5387
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5388
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5389
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5390
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5391
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5392
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5393
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5394
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5395
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5396
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5397
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5398
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5399
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5400
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5401
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5402
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5403
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5404
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5405
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5406
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5407
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5408
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5409
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5410
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5411
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5412
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5413
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5414
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5415
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5416
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5417
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5418
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5419
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5420
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5421
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5422
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5423
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5424
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5425
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5426
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5427
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5428
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5429
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5430
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5431
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5432
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5433
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5434
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5435
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5436
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5437
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5438
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5439
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5440
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5441
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5442
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5443
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5444
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5445
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5446
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5447
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5448
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5449
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5450
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5451
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5452
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5453
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5454
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5455
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5456
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5457
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5458
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5459
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5460
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5461
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5462
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5463
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5464
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5465
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5466
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5467
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5468
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5469
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5470
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5471
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5472
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5473
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5474
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5475
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5476
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5477
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5478
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5479
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5480
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5481
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5482
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5483
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5484
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5485
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5486
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5487
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5488
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5489
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5490
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5491
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5492
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5493
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5494
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5495
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5496
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5497
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5498
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5499
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5500
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5501
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5502
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5503
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5504
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5505
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5506
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5507
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5508
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5509
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5510
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5511
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000000000000000000000000000000000000000000000000000.
5512
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
5513
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5514
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
5515
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5516
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5517
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5518
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5519
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5520
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5521
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5522
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5523
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5524
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5525
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5526
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5527
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5528
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5529
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5530
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5531
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5532
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5533
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5534
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5535
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5536
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5537
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5538
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5539
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5540
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5541
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5542
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5543
WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5544
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5545
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5546
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5547
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5548
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5549
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5550
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5551
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5552
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5553
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5554
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5555
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5556
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5557
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5558
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5559
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5560
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5561
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5562
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5563
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5564
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5565
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5566
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5567
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5568
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5569
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5570
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5571
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5572
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5573
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5574
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5575
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5576
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5577
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5578
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5579
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5580
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5581
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5582
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5583
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5584
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5585
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5586
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5587
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5588
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5589
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5590
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5591
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5592
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5593
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5594
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5595
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5596
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5597
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5598
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5599
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5600
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5601
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5602
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5603
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5604
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5605
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5606
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5607
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5608
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5609
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5610
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5611
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5612
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5613
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5614
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5615
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5616
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5617
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5618
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5619
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5620
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5621
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5622
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5623
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000000000000000000.
5624
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5625
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5626
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5627
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5628
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5629
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5630
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5631
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5632
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5633
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000000000.
5634
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5635
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5636
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5637
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5638
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5639
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5640
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5641
WARNING:Xst:1580 - Signal  with a "KEEP" property is assigned but never used. Related logic will not be removed.
5642
WARNING:Xst:646 - Signal  is assigned but never used. This unconnected signal will be trimmed during the optimization process.
5643
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5644
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5645
WARNING:Xst:1780 - Signal  is never used or assigned. This unconnected signal will be trimmed during the optimization process.
5646
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5647
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5648
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5649
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5650
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5651
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5652
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5653
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5654
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5655
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5656
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5657
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5658
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5659
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5660
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5661
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5662
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5663
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5664
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5665
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5666
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5667
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5668
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5669
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5670
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5671
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5672
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5673
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5674
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
5675
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5676
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
5677
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5678
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5679
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5680
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5681
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5682
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
5683
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5684
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
5685
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5686
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5687
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5688
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5689
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5690
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
5691
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5692
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
5693
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5694
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5695
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5696
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5697
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5698
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
5699
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5700
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
5701
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5702
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5703
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5704
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5705
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5706
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
5707
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5708
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
5709
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5710
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5711
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5712
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5713
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5714
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
5715
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5716
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
5717
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5718
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5719
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5720
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5721
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5722
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
5723
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5724
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
5725
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5726
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5727
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5728
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5729
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5730
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
5731
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5732
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
5733
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5734
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5735
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5736
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5737
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5738
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
5739
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5740
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
5741
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5742
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5743
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5744
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5745
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5746
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
5747
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5748
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
5749
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5750
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5751
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5752
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5753
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5754
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
5755
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5756
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
5757
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5758
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5759
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5760
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5761
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5762
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
5763
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5764
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
5765
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5766
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5767
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5768
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5769
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5770
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
5771
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5772
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
5773
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5774
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5775
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5776
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5777
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5778
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
5779
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5780
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 1.
5781
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5782
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5783
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5784
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5785
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5786
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5787
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5788
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5789
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5790
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5791
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5792
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5793
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5794
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5795
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5796
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5797
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5798
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5799
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5800
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5801
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5802
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5803
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5804
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5805
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5806
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5807
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5808
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5809
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5810
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5811
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5812
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5813
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5814
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5815
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5816
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5817
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5818
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5819
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5820
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5821
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5822
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5823
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5824
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5825
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5826
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5827
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5828
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5829
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5830
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5831
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5832
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5833
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5834
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5835
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5836
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5837
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5838
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5839
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5840
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5841
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5842
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5843
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5844
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5845
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5846
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5847
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5848
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5849
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5850
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5851
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5852
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5853
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5854
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5855
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5856
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5857
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5858
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5859
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5860
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5861
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5862
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5863
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5864
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5865
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5866
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5867
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5868
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5869
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5870
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5871
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5872
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5873
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5874
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5875
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5876
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5877
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5878
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5879
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5880
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5881
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5882
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5883
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5884
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5885
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5886
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5887
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5888
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5889
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5890
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5891
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5892
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5893
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5894
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5895
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5896
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5897
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5898
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5899
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5900
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5901
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5902
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5903
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5904
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5905
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5906
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5907
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5908
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5909
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5910
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5911
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5912
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5913
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5914
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5915
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5916
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5917
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5918
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5919
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5920
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5921
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5922
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5923
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5924
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5925
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5926
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5927
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5928
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5929
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5930
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5931
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5932
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00.
5933
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5934
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5935
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5936
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5937
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0000.
5938
WARNING:Xst:1781 - Signal  is used but never assigned. Tied to default value.
5939
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 0.
5940
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 000.
5941
WARNING:Xst:653 - Signal  is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
5942
Unit  synthesized.
5943
 
5944
INFO:Xst:1767 - HDL ADVISOR - Resource sharing has identified that some arithmetic operations in this design can share the same physical resources for reduced device utilization. For improved clock frequency you may try to disable resource sharing.
5945
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
5946
   This instance will be removed from the design along with all underlying logic
5947
WARNING:Xst:524 - All outputs of the instance  of the block  are unconnected in block .
5948
   This instance will be removed from the design along with all underlying logic
5949
 
5950
=========================================================================
5951
HDL Synthesis Report
5952
 
5953
Macro Statistics
5954
# RAMs                                                 : 2
5955
 32x32-bit dual-port RAM                               : 2
5956
# ROMs                                                 : 4
5957
 16x3-bit ROM                                          : 2
5958
 32x20-bit ROM                                         : 1
5959
 4x1-bit ROM                                           : 1
5960
# Multipliers                                          : 1
5961
 33x33-bit multiplier                                  : 1
5962
# Adders/Subtractors                                   : 49
5963
 12-bit subtractor                                     : 1
5964
 15-bit subtractor                                     : 1
5965
 18-bit addsub                                         : 1
5966
 2-bit adder                                           : 6
5967
 3-bit adder                                           : 10
5968
 3-bit subtractor                                      : 3
5969
 30-bit adder                                          : 1
5970
 32-bit adder                                          : 5
5971
 33-bit adder                                          : 11
5972
 33-bit subtractor                                     : 1
5973
 4-bit subtractor                                      : 3
5974
 5-bit adder                                           : 2
5975
 6-bit subtractor                                      : 1
5976
 7-bit adder                                           : 1
5977
 8-bit adder                                           : 2
5978
# Counters                                             : 6
5979
 2-bit up counter                                      : 2
5980
 6-bit up counter                                      : 2
5981
 7-bit up counter                                      : 1
5982
 8-bit up counter                                      : 1
5983
# Registers                                            : 702
5984
 1-bit register                                        : 255
5985
 11-bit register                                       : 2
5986
 12-bit register                                       : 2
5987
 14-bit register                                       : 1
5988
 15-bit register                                       : 8
5989
 16-bit register                                       : 1
5990
 18-bit register                                       : 2
5991
 19-bit register                                       : 1
5992
 2-bit register                                        : 40
5993
 20-bit register                                       : 1
5994
 22-bit register                                       : 1
5995
 28-bit register                                       : 1
5996
 3-bit register                                        : 22
5997
 30-bit register                                       : 1
5998
 32-bit register                                       : 28
5999
 33-bit register                                       : 2
6000
 35-bit register                                       : 1
6001
 4-bit register                                        : 25
6002
 5-bit register                                        : 279
6003
 6-bit register                                        : 6
6004
 64-bit register                                       : 3
6005
 65-bit register                                       : 1
6006
 66-bit register                                       : 1
6007
 8-bit register                                        : 18
6008
# Latches                                              : 2
6009
 1-bit latch                                           : 1
6010
 2-bit latch                                           : 1
6011
# Comparators                                          : 150
6012
 12-bit comparator equal                               : 107
6013
 14-bit comparator equal                               : 1
6014
 14-bit comparator greater                             : 1
6015
 14-bit comparator lessequal                           : 1
6016
 14-bit comparator not equal                           : 1
6017
 2-bit comparator equal                                : 1
6018
 2-bit comparator greatequal                           : 3
6019
 2-bit comparator not equal                            : 2
6020
 20-bit comparator equal                               : 9
6021
 22-bit comparator equal                               : 1
6022
 28-bit comparator equal                               : 1
6023
 3-bit comparator equal                                : 2
6024
 3-bit comparator not equal                            : 1
6025
 32-bit comparator equal                               : 1
6026
 32-bit comparator less                                : 1
6027
 32-bit comparator not equal                           : 1
6028
 33-bit comparator equal                               : 2
6029
 5-bit comparator equal                                : 12
6030
 7-bit comparator equal                                : 1
6031
 8-bit comparator equal                                : 1
6032
# Multiplexers                                         : 204
6033
 1-bit 16-to-1 multiplexer                             : 2
6034
 1-bit 4-to-1 multiplexer                              : 160
6035
 1-bit 8-to-1 multiplexer                              : 4
6036
 13-bit 4-to-1 multiplexer                             : 1
6037
 2-bit 4-to-1 multiplexer                              : 1
6038
 3-bit 4-to-1 multiplexer                              : 4
6039
 32-bit 16-to-1 multiplexer                            : 2
6040
 32-bit 4-to-1 multiplexer                             : 13
6041
 32-bit 8-to-1 multiplexer                             : 10
6042
 4-bit 4-to-1 multiplexer                              : 1
6043
 5-bit 256-to-1 multiplexer                            : 2
6044
 5-bit 4-to-1 multiplexer                              : 2
6045
 8-bit 4-to-1 multiplexer                              : 2
6046
# Xors                                                 : 12
6047
 1-bit xor2                                            : 11
6048
 32-bit xor2                                           : 1
6049
 
6050
=========================================================================
6051
 
6052
=========================================================================
6053
*                       Advanced HDL Synthesis                          *
6054
=========================================================================
6055
 
6056
WARNING:Xst:1290 - Hierarchical block  is unconnected in block .
6057
   It will be removed from the design.
6058
WARNING:Xst:1290 - Hierarchical block  is unconnected in block .
6059
   It will be removed from the design.
6060
INFO:Xst:2261 - The FF/Latch  in Unit  is equivalent to the following FF/Latch, which will be removed : 
6061
INFO:Xst:2261 - The FF/Latch  in Unit  is equivalent to the following FF/Latch, which will be removed : 
6062
INFO:Xst:2261 - The FF/Latch  in Unit  is equivalent to the following FF/Latch, which will be removed : 
6063
INFO:Xst:2261 - The FF/Latch  in Unit  is equivalent to the following FF/Latch, which will be removed : 
6064
WARNING:Xst:1426 - The value init of the FF/Latch 0 hinder the constant cleaning in the block 0.
6065
   You should achieve better results by setting this init to 0.
6066
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6067
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6068
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6069
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6070
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6071
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6072
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6073
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6074
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6075
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6076
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6077
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6078
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6079
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6080
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6081
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6082
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6083
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6084
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6085
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6086
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6087
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6088
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6089
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6090
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6091
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6092
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6093
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6094
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6095
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6096
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6097
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6098
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6099
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6100
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6101
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6102
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6103
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6104
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6105
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6106
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6107
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6108
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6109
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6110
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6111
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6112
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6113
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6114
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6115
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6116
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6117
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6118
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6119
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6120
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6121
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6122
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6123
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6124
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6125
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6126
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6127
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6128
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6129
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6130
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6131
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6132
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6133
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6134
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6135
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6136
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6137
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6138
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6139
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6140
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6141
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6142
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6143
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6144
WARNING:Xst:2404 -  FFs/Latches > (without init value) have a constant value of 0 in block .
6145
 
6146
Synthesizing (advanced) Unit .
6147
INFO:Xst - The RAM  will be implemented as a BLOCK RAM, absorbing the following register(s): 
6148
    -----------------------------------------------------------------------
6149
    | ram_type           | Block                               |          |
6150
    -----------------------------------------------------------------------
6151
    | Port A                                                              |
6152
    |     aspect ratio   | 32-word x 32-bit                    |          |
6153
    |     mode           | write-first                         |          |
6154
    |     clkA           | connected to signal           | rise     |
6155
    |     weA            | connected to signal             | high     |
6156
    |     addrA          | connected to signal             |          |
6157
    |     diA            | connected to signal            |          |
6158
    -----------------------------------------------------------------------
6159
    | optimization       | speed                               |          |
6160
    -----------------------------------------------------------------------
6161
    | Port B                                                              |
6162
    |     aspect ratio   | 32-word x 32-bit                    |          |
6163
    |     mode           | write-first                         |          |
6164
    |     clkB           | connected to signal           | rise     |
6165
    |     enB            | connected to signal            | high     |
6166
    |     addrB          | connected to signal         |          |
6167
    |     doB            | connected to internal node          |          |
6168
    -----------------------------------------------------------------------
6169
    | optimization       | speed                               |          |
6170
    -----------------------------------------------------------------------
6171
INFO:Xst - The RAM  will be implemented as a BLOCK RAM, absorbing the following register(s): 
6172
    -----------------------------------------------------------------------
6173
    | ram_type           | Block                               |          |
6174
    -----------------------------------------------------------------------
6175
    | Port A                                                              |
6176
    |     aspect ratio   | 32-word x 32-bit                    |          |
6177
    |     mode           | write-first                         |          |
6178
    |     clkA           | connected to signal           | rise     |
6179
    |     weA            | connected to signal             | high     |
6180
    |     addrA          | connected to signal             |          |
6181
    |     diA            | connected to signal            |          |
6182
    -----------------------------------------------------------------------
6183
    | optimization       | speed                               |          |
6184
    -----------------------------------------------------------------------
6185
    | Port B                                                              |
6186
    |     aspect ratio   | 32-word x 32-bit                    |          |
6187
    |     mode           | write-first                         |          |
6188
    |     clkB           | connected to signal           | rise     |
6189
    |     enB            | connected to signal            | high     |
6190
    |     addrB          | connected to signal         |          |
6191
    |     doB            | connected to internal node          |          |
6192
    -----------------------------------------------------------------------
6193
    | optimization       | speed                               |          |
6194
    -----------------------------------------------------------------------
6195
Unit  synthesized (advanced).
6196
 
6197
Synthesizing (advanced) Unit .
6198
        Found pipelined multiplier on signal :
6199
                - 1 pipeline level(s) found in a register connected to the multiplier macro output.
6200
                Pushing register(s) into the multiplier macro.
6201
INFO:Xst:2385 - HDL ADVISOR - You can improve the performance of the multiplier Mmult_prod_mult0001 by adding 2 register level(s).
6202
Unit  synthesized (advanced).
6203
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6204
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6205
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6206
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6207
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6208
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6209
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6210
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6211
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6212
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6213
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6214
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6215
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6216
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6217
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6218
 
6219
=========================================================================
6220
Advanced HDL Synthesis Report
6221
 
6222
Macro Statistics
6223
# RAMs                                                 : 2
6224
 32x32-bit dual-port block RAM                         : 2
6225
# ROMs                                                 : 4
6226
 16x3-bit ROM                                          : 2
6227
 32x20-bit ROM                                         : 1
6228
 4x1-bit ROM                                           : 1
6229
# Multipliers                                          : 1
6230
 33x33-bit registered multiplier                       : 1
6231
# Adders/Subtractors                                   : 48
6232
 12-bit subtractor                                     : 1
6233
 15-bit subtractor                                     : 1
6234
 18-bit addsub                                         : 1
6235
 2-bit adder                                           : 5
6236
 3-bit adder                                           : 10
6237
 3-bit subtractor                                      : 3
6238
 30-bit adder                                          : 1
6239
 32-bit adder                                          : 6
6240
 32-bit subtractor                                     : 1
6241
 33-bit adder                                          : 10
6242
 4-bit subtractor                                      : 3
6243
 5-bit adder                                           : 2
6244
 6-bit subtractor                                      : 1
6245
 7-bit adder                                           : 1
6246
 8-bit adder                                           : 2
6247
# Counters                                             : 6
6248
 2-bit up counter                                      : 2
6249
 6-bit up counter                                      : 2
6250
 7-bit up counter                                      : 1
6251
 8-bit up counter                                      : 1
6252
# Registers                                            : 3598
6253
 Flip-Flops                                            : 3598
6254
# Latches                                              : 2
6255
 1-bit latch                                           : 1
6256
 2-bit latch                                           : 1
6257
# Comparators                                          : 150
6258
 12-bit comparator equal                               : 107
6259
 14-bit comparator equal                               : 1
6260
 14-bit comparator greater                             : 1
6261
 14-bit comparator lessequal                           : 1
6262
 14-bit comparator not equal                           : 1
6263
 2-bit comparator equal                                : 1
6264
 2-bit comparator greatequal                           : 3
6265
 2-bit comparator not equal                            : 2
6266
 20-bit comparator equal                               : 9
6267
 22-bit comparator equal                               : 1
6268
 28-bit comparator equal                               : 1
6269
 3-bit comparator equal                                : 2
6270
 3-bit comparator not equal                            : 1
6271
 32-bit comparator equal                               : 1
6272
 32-bit comparator less                                : 1
6273
 32-bit comparator not equal                           : 1
6274
 33-bit comparator equal                               : 2
6275
 5-bit comparator equal                                : 12
6276
 7-bit comparator equal                                : 1
6277
 8-bit comparator equal                                : 1
6278
# Multiplexers                                         : 212
6279
 1-bit 16-to-1 multiplexer                             : 2
6280
 1-bit 256-to-1 multiplexer                            : 10
6281
 1-bit 4-to-1 multiplexer                              : 160
6282
 1-bit 8-to-1 multiplexer                              : 4
6283
 13-bit 4-to-1 multiplexer                             : 1
6284
 2-bit 4-to-1 multiplexer                              : 1
6285
 3-bit 4-to-1 multiplexer                              : 4
6286
 32-bit 16-to-1 multiplexer                            : 2
6287
 32-bit 4-to-1 multiplexer                             : 13
6288
 32-bit 8-to-1 multiplexer                             : 10
6289
 4-bit 4-to-1 multiplexer                              : 1
6290
 5-bit 4-to-1 multiplexer                              : 2
6291
 8-bit 4-to-1 multiplexer                              : 2
6292
# Xors                                                 : 12
6293
 1-bit xor2                                            : 11
6294
 32-bit xor2                                           : 1
6295
 
6296
=========================================================================
6297
 
6298
=========================================================================
6299
*                         Low Level Synthesis                           *
6300
=========================================================================
6301
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6302
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6303
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6304
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6305
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6306
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6307
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6308
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6309
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6310
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6311
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6312
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6313
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6314
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6315
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6316
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6317
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6318
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6319
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6320
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6321
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6322
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6323
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6324
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6325
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6326
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6327
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6328
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6329
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6330
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6331
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6332
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6333
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6334
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6335
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6336
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6337
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6338
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6339
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6340
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6341
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6342
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6343
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6344
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6345
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6346
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6347
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6348
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6349
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6350
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6351
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6352
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6353
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6354
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6355
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6356
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6357
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6358
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6359
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6360
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6361
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6362
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6363
INFO:Xst:2261 - The FF/Latch  in Unit  is equivalent to the following FF/Latch, which will be removed : 
6364
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6365
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6366
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6367
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6368
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6369
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6370
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6371
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6372
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6373
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6374
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6375
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6376
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6377
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6378
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6379
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6380
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6381
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6382
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6383
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6384
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6385
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6386
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6387
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6388
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6389
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6390
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6391
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6392
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6393
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6394
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6395
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6396
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6397
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6398
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6399
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6400
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6401
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6402
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6403
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6404
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6405
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6406
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6407
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6408
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6409
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6410
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6411
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6412
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6413
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6414
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6415
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6416
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6417
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6418
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6419
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6420
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6421
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6422
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6423
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6424
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6425
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6426
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6427
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6428
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6429
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6430
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6431
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6432
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6433
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6434
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6435
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6436
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6437
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6438
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6439
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6440
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6441
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6442
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6443
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6444
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6445
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6446
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6447
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6448
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6449
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6450
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6451
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6452
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6453
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6454
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6455
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6456
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6457
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6458
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6459
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6460
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6461
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6462
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6463
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6464
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6465
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6466
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6467
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6468
WARNING:Xst:1426 - The value init of the FF/Latch l3.cpu[0].u0/p0/mips/ifzero/i_0 hinder the constant cleaning in the block leon3mp.
6469
   You should achieve better results by setting this init to 0.
6470
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6471
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6472
 
6473
Optimizing unit  ...
6474
 
6475
Optimizing unit  ...
6476
 
6477
Optimizing unit  ...
6478
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6479
 
6480
Optimizing unit  ...
6481
 
6482
Optimizing unit  ...
6483
 
6484
Optimizing unit  ...
6485
 
6486
Optimizing unit  ...
6487
 
6488
Optimizing unit  ...
6489
 
6490
Optimizing unit  ...
6491
 
6492
Optimizing unit  ...
6493
 
6494
Optimizing unit  ...
6495
 
6496
Optimizing unit  ...
6497
 
6498
Optimizing unit  ...
6499
 
6500
Optimizing unit  ...
6501
 
6502
Optimizing unit  ...
6503
 
6504
Optimizing unit  ...
6505
 
6506
Optimizing unit  ...
6507
 
6508
Optimizing unit  ...
6509
 
6510
Optimizing unit  ...
6511
 
6512
Optimizing unit  ...
6513
 
6514
Optimizing unit  ...
6515
 
6516
Optimizing unit  ...
6517
 
6518
Optimizing unit  ...
6519
 
6520
Optimizing unit  ...
6521
 
6522
Optimizing unit  ...
6523
 
6524
Optimizing unit  ...
6525
 
6526
Optimizing unit  ...
6527
 
6528
Optimizing unit  ...
6529
 
6530
Optimizing unit  ...
6531
 
6532
Optimizing unit  ...
6533
 
6534
Optimizing unit  ...
6535
 
6536
Optimizing unit  ...
6537
 
6538
Optimizing unit  ...
6539
 
6540
Optimizing unit  ...
6541
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6542
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6543
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6544
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6545
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6546
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6547
 
6548
Optimizing unit  ...
6549
 
6550
Optimizing unit  ...
6551
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6552
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6553
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6554
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6555
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6556
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6557
 
6558
Optimizing unit  ...
6559
 
6560
Optimizing unit  ...
6561
 
6562
Optimizing unit  ...
6563
 
6564
Optimizing unit  ...
6565
 
6566
Optimizing unit  ...
6567
 
6568
Optimizing unit  ...
6569
 
6570
Optimizing unit  ...
6571
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6572
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6573
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6574
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6575
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6576
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6577
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6578
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6579
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6580
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6581
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6582
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6583
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6584
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6585
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6586
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6587
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6588
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6589
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6590
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6591
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6592
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6593
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6594
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6595
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6596
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6597
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6598
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6599
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6600
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6601
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6602
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6603
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6604
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6605
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6606
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6607
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6608
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6609
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6610
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6611
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6612
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6613
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6614
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6615
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6616
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6617
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process.
6618
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6619
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6620
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6621
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6622
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6623
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6624
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6625
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6626
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6627
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6628
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6629
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6630
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6631
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6632
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6633
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6634
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6635
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6636
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6637
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6638
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6639
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6640
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6641
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6642
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6643
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6644
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6645
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6646
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6647
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6648
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6649
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6650
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6651
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6652
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6653
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6654
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6655
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6656
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6657
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6658
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6659
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6660
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6661
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6662
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6663
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6664
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6665
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6666
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6667
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6668
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6669
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6670
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6671
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6672
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6673
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6674
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6675
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6676
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6677
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6678
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6679
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6680
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6681
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6682
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6683
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6684
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6685
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6686
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6687
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6688
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6689
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6690
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6691
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6692
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6693
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6694
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6695
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6696
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6697
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6698
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6699
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6700
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6701
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6702
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6703
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6704
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6705
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6706
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6707
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6708
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6709
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6710
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6711
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6712
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6713
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6714
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6715
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6716
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6717
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6718
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6719
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6720
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6721
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6722
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6723
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6724
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6725
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6726
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6727
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6728
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6729
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6730
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6731
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6732
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6733
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6734
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6735
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6736
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6737
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6738
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6739
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6740
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6741
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6742
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6743
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6744
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6745
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6746
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6747
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6748
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6749
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6750
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6751
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6752
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6753
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6754
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6755
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6756
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6757
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6758
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6759
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6760
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6761
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6762
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6763
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6764
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6765
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6766
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6767
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6768
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6769
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6770
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6771
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6772
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6773
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6774
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6775
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6776
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6777
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6778
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6779
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6780
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6781
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6782
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6783
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6784
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6785
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6786
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6787
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6788
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6789
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6790
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6791
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6792
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6793
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6794
WARNING:Xst:2677 - Node  of sequential type is unconnected in block .
6795
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6796
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6797
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6798
 
6799
Mapping all equations...
6800
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6801
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6802
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6803
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6804
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6805
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6806
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6807
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6808
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6809
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6810
WARNING:Xst:1710 - FF/Latch  (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process.
6811
Building and optimizing final netlist ...
6812
INFO:Xst:2261 - The FF/Latch  in Unit  is equivalent to the following FF/Latch, which will be removed : 
6813
INFO:Xst:2261 - The FF/Latch  in Unit  is equivalent to the following FF/Latch, which will be removed : 
6814
Found area constraint ratio of 100 (+ 5) on block leon3mp, actual ratio is 41.
6815
Replicating register mctrl0/r.iosn_0 to handle IOB=TRUE attribute
6816
Replicating register mctrl0/r.read to handle IOB=TRUE attribute
6817
Replicating register mctrl0/sd0.sdctrl/r.rasn to handle IOB=TRUE attribute
6818
Replicating register mctrl0/sd0.sdctrl/r.sdwen to handle IOB=TRUE attribute
6819
Replicating register mctrl0/r.oen to handle IOB=TRUE attribute
6820
Replicating register mctrl0/sd0.sdctrl/r.casn to handle IOB=TRUE attribute
6821
Replicating register mctrl0/r.writen to handle IOB=TRUE attribute
6822
Replicating register dcomgen.dcom0/dcom_uart0/r.tshift_0 to handle IOB=TRUE attribute
6823
Replicating register mctrl0/r.bdrive_0 to handle IOB=TRUE attribute
6824
Replicating register mctrl0/r.writedata_31 to handle IOB=TRUE attribute
6825
Replicating register mctrl0/r.writedata_30 to handle IOB=TRUE attribute
6826
Replicating register mctrl0/r.writedata_29 to handle IOB=TRUE attribute
6827
Replicating register mctrl0/r.writedata_28 to handle IOB=TRUE attribute
6828
Replicating register mctrl0/r.writedata_27 to handle IOB=TRUE attribute
6829
Replicating register mctrl0/r.writedata_26 to handle IOB=TRUE attribute
6830
Replicating register mctrl0/r.writedata_25 to handle IOB=TRUE attribute
6831
Replicating register mctrl0/r.writedata_24 to handle IOB=TRUE attribute
6832
Replicating register mctrl0/r.bdrive_1 to handle IOB=TRUE attribute
6833
Replicating register mctrl0/r.writedata_23 to handle IOB=TRUE attribute
6834
Replicating register mctrl0/r.writedata_22 to handle IOB=TRUE attribute
6835
Replicating register mctrl0/r.writedata_21 to handle IOB=TRUE attribute
6836
Replicating register mctrl0/r.writedata_20 to handle IOB=TRUE attribute
6837
Replicating register mctrl0/r.writedata_19 to handle IOB=TRUE attribute
6838
Replicating register mctrl0/r.writedata_18 to handle IOB=TRUE attribute
6839
Replicating register mctrl0/r.writedata_17 to handle IOB=TRUE attribute
6840
Replicating register mctrl0/r.writedata_16 to handle IOB=TRUE attribute
6841
Replicating register mctrl0/r.bdrive_2 to handle IOB=TRUE attribute
6842
Replicating register mctrl0/r.writedata_15 to handle IOB=TRUE attribute
6843
Replicating register mctrl0/r.writedata_14 to handle IOB=TRUE attribute
6844
Replicating register mctrl0/r.writedata_13 to handle IOB=TRUE attribute
6845
Replicating register mctrl0/r.writedata_12 to handle IOB=TRUE attribute
6846
Replicating register mctrl0/r.writedata_11 to handle IOB=TRUE attribute
6847
Replicating register mctrl0/r.writedata_10 to handle IOB=TRUE attribute
6848
Replicating register mctrl0/r.writedata_9 to handle IOB=TRUE attribute
6849
Replicating register mctrl0/r.writedata_8 to handle IOB=TRUE attribute
6850
Replicating register mctrl0/r.bdrive_3 to handle IOB=TRUE attribute
6851
Replicating register mctrl0/r.writedata_7 to handle IOB=TRUE attribute
6852
Replicating register mctrl0/r.writedata_6 to handle IOB=TRUE attribute
6853
Replicating register mctrl0/r.writedata_5 to handle IOB=TRUE attribute
6854
Replicating register mctrl0/r.writedata_4 to handle IOB=TRUE attribute
6855
Replicating register mctrl0/r.writedata_3 to handle IOB=TRUE attribute
6856
Replicating register mctrl0/r.writedata_2 to handle IOB=TRUE attribute
6857
Replicating register mctrl0/r.writedata_1 to handle IOB=TRUE attribute
6858
Replicating register mctrl0/r.writedata_0 to handle IOB=TRUE attribute
6859
Replicating register mctrl0/sd0.sdctrl/r.sdcsn_1 to handle IOB=TRUE attribute
6860
Replicating register mctrl0/sd0.sdctrl/r.sdcsn_0 to handle IOB=TRUE attribute
6861
Replicating register mctrl0/sd0.sdctrl/r.dqm_3 to handle IOB=TRUE attribute
6862
Replicating register mctrl0/sd0.sdctrl/r.dqm_2 to handle IOB=TRUE attribute
6863
Replicating register mctrl0/sd0.sdctrl/r.dqm_1 to handle IOB=TRUE attribute
6864
Replicating register mctrl0/sd0.sdctrl/r.dqm_0 to handle IOB=TRUE attribute
6865
Replicating register mctrl0/r.ramsn_1 to handle IOB=TRUE attribute
6866
Replicating register mctrl0/r.ramsn_0 to handle IOB=TRUE attribute
6867
Replicating register mctrl0/r.address_27 to handle IOB=TRUE attribute
6868
Replicating register mctrl0/r.address_26 to handle IOB=TRUE attribute
6869
Replicating register mctrl0/r.address_25 to handle IOB=TRUE attribute
6870
Replicating register mctrl0/r.address_24 to handle IOB=TRUE attribute
6871
Replicating register mctrl0/r.address_23 to handle IOB=TRUE attribute
6872
Replicating register mctrl0/r.address_22 to handle IOB=TRUE attribute
6873
Replicating register mctrl0/r.address_21 to handle IOB=TRUE attribute
6874
Replicating register mctrl0/r.address_20 to handle IOB=TRUE attribute
6875
Replicating register mctrl0/r.address_19 to handle IOB=TRUE attribute
6876
Replicating register mctrl0/r.address_18 to handle IOB=TRUE attribute
6877
Replicating register mctrl0/r.address_17 to handle IOB=TRUE attribute
6878
Replicating register mctrl0/r.address_16 to handle IOB=TRUE attribute
6879
Replicating register mctrl0/r.address_15 to handle IOB=TRUE attribute
6880
Replicating register mctrl0/r.address_14 to handle IOB=TRUE attribute
6881
Replicating register mctrl0/r.address_13 to handle IOB=TRUE attribute
6882
Replicating register mctrl0/r.address_12 to handle IOB=TRUE attribute
6883
Replicating register mctrl0/r.address_11 to handle IOB=TRUE attribute
6884
Replicating register mctrl0/r.address_10 to handle IOB=TRUE attribute
6885
Replicating register mctrl0/r.address_9 to handle IOB=TRUE attribute
6886
Replicating register mctrl0/r.address_8 to handle IOB=TRUE attribute
6887
Replicating register mctrl0/r.address_7 to handle IOB=TRUE attribute
6888
Replicating register mctrl0/r.address_6 to handle IOB=TRUE attribute
6889
Replicating register mctrl0/r.address_5 to handle IOB=TRUE attribute
6890
Replicating register mctrl0/r.address_4 to handle IOB=TRUE attribute
6891
Replicating register mctrl0/r.address_3 to handle IOB=TRUE attribute
6892
Replicating register mctrl0/r.address_2 to handle IOB=TRUE attribute
6893
Replicating register mctrl0/r.address_1 to handle IOB=TRUE attribute
6894
Replicating register mctrl0/r.address_0 to handle IOB=TRUE attribute
6895
Replicating register mctrl0/r.romsn_1 to handle IOB=TRUE attribute
6896
Replicating register mctrl0/r.romsn_0 to handle IOB=TRUE attribute
6897
Replicating register mctrl0/r.ramoen_4 to handle IOB=TRUE attribute
6898
Replicating register mctrl0/r.ramoen_3 to handle IOB=TRUE attribute
6899
Replicating register mctrl0/r.ramoen_2 to handle IOB=TRUE attribute
6900
Replicating register mctrl0/r.ramoen_1 to handle IOB=TRUE attribute
6901
Replicating register mctrl0/r.ramoen_0 to handle IOB=TRUE attribute
6902
Replicating register mctrl0/r.wrn_3 to handle IOB=TRUE attribute
6903
Replicating register mctrl0/r.wrn_2 to handle IOB=TRUE attribute
6904
Replicating register mctrl0/r.wrn_1 to handle IOB=TRUE attribute
6905
Replicating register mctrl0/r.wrn_0 to handle IOB=TRUE attribute
6906
 
6907
FlipFlop l3.cpu[0].u0/p0/m0.c0/dcache0/r.flush2 has been replicated 2 time(s)
6908
FlipFlop l3.cpu[0].u0/p0/m0.c0/dcache0/rl.waddr_0 has been replicated 1 time(s)
6909
FlipFlop l3.cpu[0].u0/p0/mips/E1/decoder_pipe/pipereg/U18/wb_mux_ctl_o_0 has been replicated 1 time(s)
6910
 
6911
Final Macro Processing ...
6912
 
6913
Processing Unit  :
6914
        Found 2-bit shift register for signal .
6915
        Found 2-bit shift register for signal .
6916
        Found 4-bit shift register for signal .
6917
Unit  processed.
6918
 
6919
=========================================================================
6920
Final Register Report
6921
 
6922
Macro Statistics
6923
# Registers                                            : 3328
6924
 Flip-Flops                                            : 3328
6925
# Shift Registers                                      : 3
6926
 2-bit shift register                                  : 2
6927
 4-bit shift register                                  : 1
6928
 
6929
=========================================================================
6930
 
6931
=========================================================================
6932
*                           Partition Report                             *
6933
=========================================================================
6934
 
6935
Partition Implementation Status
6936
-------------------------------
6937
 
6938
  No Partitions were found in this design.
6939
 
6940
-------------------------------
6941
 
6942
=========================================================================
6943
*                            Final Report                               *
6944
=========================================================================
6945
Final Results
6946
RTL Top Level Output File Name     : leon3mp.ngr
6947
Top Level Output File Name         : leon3mp
6948
Output Format                      : NGC
6949
Optimization Goal                  : Speed
6950
Keep Hierarchy                     : NO
6951
 
6952
Design Statistics
6953
# IOs                              : 264
6954
 
6955
Cell Usage :
6956
# BELS                             : 12784
6957
#      GND                         : 1
6958
#      INV                         : 112
6959
#      LUT1                        : 129
6960
#      LUT2                        : 716
6961
#      LUT2_D                      : 24
6962
#      LUT2_L                      : 12
6963
#      LUT3                        : 2857
6964
#      LUT3_D                      : 35
6965
#      LUT3_L                      : 51
6966
#      LUT4                        : 5138
6967
#      LUT4_D                      : 159
6968
#      LUT4_L                      : 444
6969
#      MULT_AND                    : 30
6970
#      MUXCY                       : 781
6971
#      MUXF5                       : 1259
6972
#      MUXF6                       : 330
6973
#      MUXF7                       : 165
6974
#      MUXF8                       : 72
6975
#      VCC                         : 1
6976
#      XORCY                       : 468
6977
# FlipFlops/Latches                : 3337
6978
#      FD                          : 405
6979
#      FDC                         : 7
6980
#      FDE                         : 804
6981
#      FDE_1                       : 10
6982
#      FDP                         : 67
6983
#      FDR                         : 80
6984
#      FDRE                        : 1448
6985
#      FDRS                        : 26
6986
#      FDS                         : 432
6987
#      FDSE                        : 56
6988
#      LD                          : 1
6989
#      LDE                         : 1
6990
# RAMS                             : 17
6991
#      RAMB16_S18                  : 10
6992
#      RAMB16_S36_S36              : 7
6993
# Shift Registers                  : 3
6994
#      SRL16                       : 3
6995
# Clock Buffers                    : 2
6996
#      BUFG                        : 2
6997
# IO Buffers                       : 138
6998
#      IBUF                        : 7
6999
#      IBUFG                       : 2
7000
#      IOBUF                       : 36
7001
#      OBUF                        : 91
7002
#      OBUFT                       : 2
7003
# DCMs                             : 2
7004
#      DCM                         : 2
7005
# Others                           : 1
7006
#      BSCAN_SPARTAN3              : 1
7007
=========================================================================
7008
 
7009
Device utilization summary:
7010
---------------------------
7011
 
7012
Selected Device : 3s1500fg456-4
7013
 
7014
 Number of Slices:                     5129  out of  13312    38%
7015
 Number of Slice Flip Flops:           3179  out of  26624    11%
7016
 Number of 4 input LUTs:               9680  out of  26624    36%
7017
    Number used as logic:              9677
7018
    Number used as Shift registers:       3
7019
 Number of IOs:                         264
7020
 Number of bonded IOBs:                 138  out of    333    41%
7021
    IOB Flip Flops:                     158
7022
 Number of BRAMs:                        17  out of     32    53%
7023
 Number of GCLKs:                         2  out of      8    25%
7024
 Number of DCMs:                          2  out of      4    50%
7025
 
7026
---------------------------
7027
Partition Resource Summary:
7028
---------------------------
7029
 
7030
  No Partitions were found in this design.
7031
 
7032
---------------------------
7033
 
7034
 
7035
=========================================================================
7036
TIMING REPORT
7037
 
7038
NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
7039
      FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
7040
      GENERATED AFTER PLACE-and-ROUTE.
7041
 
7042
Clock Information:
7043
------------------
7044
-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------+-------+
7045
Clock Signal                                                                                                                 | Clock buffer(FF name)                                     | Load  |
7046
-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------+-------+
7047
l3.cpu[0].u0/p0/mips/ifzero/i_and0000(l3.cpu[0].u0/p0/mips/ifzero/we_o1_and00001_f5:O)                                       | NONE(*)(l3.cpu[0].u0/p0/mips/ifzero/i_0)                  | 1     |
7048
clk                                                                                                                          | clkgen0/xc3s.v/dll0:CLKFX                                 | 3345  |
7049
l3.cpu[0].u0/p0/mips/E1/iRF_stage/i_pc_gen/branch_cmp_eq0000(l3.cpu[0].u0/p0/mips/E1/iRF_stage/i_pc_gen/branch_cmp_eq00001:O)| NONE(*)(l3.cpu[0].u0/p0/mips/E1/iRF_stage/i_pc_gen/branch)| 1     |
7050
-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------+-------+
7051
(*) These 2 clock signal(s) are generated by combinatorial logic,
7052
and XST is not able to identify which are the primary clock signals.
7053
Please use the CLOCK_SIGNAL constraint to specify the clock signal(s) generated by combinatorial logic.
7054
INFO:Xst:2169 - HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems.
7055
 
7056
Asynchronous Control Signals Information:
7057
----------------------------------------
7058
-----------------------------------------------------------------+-----------------------------------------+-------+
7059
Control Signal                                                   | Buffer(FF name)                         | Load  |
7060
-----------------------------------------------------------------+-----------------------------------------+-------+
7061
ahb0/rst_inv(ua1.uart1/rst_inv1_INV_0:O)                         | NONE(mctrl0/r.bdrive_3_8)               | 64    |
7062
clkgen0/xc3s.v/dll0rst(rst0/rstoutraw_inv1_INV_0:O)              | NONE(rst0/rstoutl)                      | 6     |
7063
clkgen0/xc3s.v/dll0lock_inv(clkgen0/xc3s.v/dll0lock_inv1_INV_0:O)| NONE(clkgen0/xc3s.v/dll0lock_inv_shift1)| 4     |
7064
-----------------------------------------------------------------+-----------------------------------------+-------+
7065
 
7066
Timing Summary:
7067
---------------
7068
Speed Grade: -4
7069
 
7070
   Minimum period: 28.538ns (Maximum Frequency: 35.041MHz)
7071
   Minimum input arrival time before clock: 1.970ns
7072
   Maximum output required time after clock: 7.408ns
7073
   Maximum combinational path delay: No path found
7074
 
7075
Timing Detail:
7076
--------------
7077
All values displayed in nanoseconds (ns)
7078
 
7079
=========================================================================
7080
Timing constraint: Default period analysis for Clock 'l3.cpu[0].u0/p0/mips/ifzero/i_and0000'
7081
  Clock period: 2.112ns (frequency: 473.485MHz)
7082
  Total number of paths / destination ports: 1 / 1
7083
-------------------------------------------------------------------------
7084
Delay:               2.112ns (Levels of Logic = 0)
7085
  Source:            l3.cpu[0].u0/p0/mips/ifzero/i_0 (LATCH)
7086
  Destination:       l3.cpu[0].u0/p0/mips/ifzero/i_0 (LATCH)
7087
  Source Clock:      l3.cpu[0].u0/p0/mips/ifzero/i_and0000 falling
7088
  Destination Clock: l3.cpu[0].u0/p0/mips/ifzero/i_and0000 falling
7089
 
7090
  Data Path: l3.cpu[0].u0/p0/mips/ifzero/i_0 to l3.cpu[0].u0/p0/mips/ifzero/i_0
7091
                                Gate     Net
7092
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
7093
    ----------------------------------------  ------------
7094
     LDE:G->Q              2   0.633   0.877  l3.cpu[0].u0/p0/mips/ifzero/i_0 (l3.cpu[0].u0/p0/mips/ifzero/i_0)
7095
     LDE:GE                    0.602          l3.cpu[0].u0/p0/mips/ifzero/i_0
7096
    ----------------------------------------
7097
    Total                      2.112ns (1.235ns logic, 0.877ns route)
7098
                                       (58.5% logic, 41.5% route)
7099
 
7100
=========================================================================
7101
Timing constraint: Default period analysis for Clock 'clk'
7102
  Clock period: 28.538ns (frequency: 35.041MHz)
7103
  Total number of paths / destination ports: 4226082144 / 7963
7104
-------------------------------------------------------------------------
7105
Delay:               35.673ns (Levels of Logic = 26)
7106
  Source:            l3.cpu[0].u0/p0/mips/E1/iforward/fw_reg_rns/q_4 (FF)
7107
  Destination:       l3.cpu[0].u0/cmem0/dme.dtags1.dt1.dt0[0].dtags0/xc2v.x0/a9.x[0].r0 (RAM)
7108
  Source Clock:      clk rising 0.8X
7109
  Destination Clock: clk rising 0.8X
7110
 
7111
  Data Path: l3.cpu[0].u0/p0/mips/E1/iforward/fw_reg_rns/q_4 to l3.cpu[0].u0/cmem0/dme.dtags1.dt1.dt0[0].dtags0/xc2v.x0/a9.x[0].r0
7112
                                Gate     Net
7113
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
7114
    ----------------------------------------  ------------
7115
     FDE:C->Q              3   0.720   1.246  l3.cpu[0].u0/p0/mips/E1/iforward/fw_reg_rns/q_4 (l3.cpu[0].u0/p0/mips/E1/iforward/fw_reg_rns/q_4)
7116
     LUT4_D:I0->O          1   0.551   0.827  l3.cpu[0].u0/p0/mips/E1/iforward/fw_alu_rs/mux_fw_cmp_eq0001526 (l3.cpu[0].u0/p0/mips/E1/iforward/fw_alu_rs/mux_fw_cmp_eq0001526)
7117
     LUT4:I3->O            3   0.551   1.246  l3.cpu[0].u0/p0/mips/E1/iforward/fw_alu_rs/mux_fw_cmp_eq0001578_1 (l3.cpu[0].u0/p0/mips/E1/iforward/fw_alu_rs/mux_fw_cmp_eq0001578)
7118
     LUT2:I0->O           10   0.551   1.160  l3.cpu[0].u0/p0/mips/E1/iexec_stage/i_alu_muxa/a_o_mux0001(2)_SW2 (N1458)
7119
     LUT4:I3->O            1   0.551   0.869  l3.cpu[0].u0/p0/mips/E1/iexec_stage/i_alu_muxa/a_o_mux0001(3) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/i_alu_muxa/a_o_mux0001(3))
7120
     LUT3:I2->O            1   0.551   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/i_alu_muxa/Mmux_a_o_323 (l3.cpu[0].u0/p0/mips/E1/iexec_stage/i_alu_muxa/Mmux_a_o_323)
7121
     MUXF5:I1->O          58   0.360   2.341  l3.cpu[0].u0/p0/mips/E1/iexec_stage/i_alu_muxa/Mmux_a_o_2_f5_22 (l3.cpu[0].u0/p0/mips/E1/iexec_stage/BUS476(3))
7122
     LUT2_D:I0->O         13   0.551   1.196  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_shifter/shift_out_cmp_eq000121_SW2 (N1700)
7123
     LUT4_D:I3->O         37   0.551   1.908  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_shifter/shift_out_cmp_eq00151 (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_shifter/shift_out_cmp_eq0015)
7124
     LUT4:I3->O            1   0.551   0.869  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/c(21)302 (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/c(21)302)
7125
     LUT4:I2->O            1   0.551   1.140  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/c(21)329 (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/c(21)329)
7126
     LUT3:I0->O            1   0.551   0.869  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/c(21)339 (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/c(21)339)
7127
     LUT4:I2->O            1   0.551   0.827  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/c(21)362 (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/c(21)362)
7128
     LUT4:I3->O           12   0.551   1.144  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/c(21)385 (l3.cpu[0].u0/p0/dci_maddress(21))
7129
     LUT4:I3->O            1   0.551   0.000  l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_lut(4) (l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_lut(4))
7130
     MUXCY:S->O            1   0.500   0.000  l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(4) (l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(4))
7131
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(5) (l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(5))
7132
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(6) (l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(6))
7133
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(7) (l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(7))
7134
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(8) (l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(8))
7135
     MUXCY:CI->O           4   0.303   0.985  l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(9) (l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(9))
7136
     LUT4:I2->O            1   0.551   1.140  l3.cpu[0].u0/p0/m0.c0/dcache0/validraw_or000014 (l3.cpu[0].u0/p0/m0.c0/dcache0/validraw_or000014)
7137
     LUT2_D:I0->O          4   0.551   0.943  l3.cpu[0].u0/p0/m0.c0/dcache0/validraw_or0000110 (l3.cpu[0].u0/p0/m0.c0/dcache0/N15)
7138
     LUT4:I3->O            5   0.551   0.989  l3.cpu[0].u0/p0/m0.c0/dcache0/setrepl_mux0004(0)11 (l3.cpu[0].u0/p0/m0.c0/dcache0/N12)
7139
     LUT4_D:I2->O         11   0.551   1.212  l3.cpu[0].u0/p0/m0.c0/dcache0/setrepl_mux0004(0)1 (l3.cpu[0].u0/p0/m0.c0/dcache0/setrepl_mux0004(0))
7140
     LUT4:I2->O            1   0.551   0.869  l3.cpu[0].u0/p0/m0.c0/dcache0/ctwrite_0_mux00051143_SW2 (N1723)
7141
     LUT4:I2->O            1   0.551   0.801  l3.cpu[0].u0/p0/m0.c0/dcache0/ctwrite_2_mux00051 (l3.cpu[0].u0/crami_dcramin_twrite(2))
7142
     RAMB16_S36_S36:WEA        0.484          l3.cpu[0].u0/cmem0/dme.dtags1.dt1.dt0[2].dtags0/xc2v.x0/a9.x[0].r0
7143
    ----------------------------------------
7144
    Total                     35.673ns (13.092ns logic, 22.581ns route)
7145
                                       (36.7% logic, 63.3% route)
7146
 
7147
=========================================================================
7148
Timing constraint: Default OFFSET IN BEFORE for Clock 'clk'
7149
  Total number of paths / destination ports: 45 / 43
7150
-------------------------------------------------------------------------
7151
Offset:              1.970ns (Levels of Logic = 1)
7152
  Source:            ahbjtaggen0.ahbjtag0/tap0/xc3s.u0/u0:SEL1 (PAD)
7153
  Destination:       ahbjtaggen0.ahbjtag0/jtagcom0/r.tck_0 (FF)
7154
  Destination Clock: clk rising 0.8X
7155
 
7156
  Data Path: ahbjtaggen0.ahbjtag0/tap0/xc3s.u0/u0:SEL1 to ahbjtaggen0.ahbjtag0/jtagcom0/r.tck_0
7157
                                Gate     Net
7158
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
7159
    ----------------------------------------  ------------
7160
    BSCAN_SPARTAN3:SEL1    2   0.000   1.216  ahbjtaggen0.ahbjtag0/tap0/xc3s.u0/u0 (ahbjtaggen0.ahbjtag0/ltapo_asel)
7161
     LUT3:I0->O            1   0.551   0.000  ahbjtaggen0.ahbjtag0/tap0/xc3s.u0/tapo_tck1 (ahbjtaggen0.ahbjtag0/tapo_tck)
7162
     FD:D                      0.203          ahbjtaggen0.ahbjtag0/jtagcom0/r.tck_0
7163
    ----------------------------------------
7164
    Total                      1.970ns (0.754ns logic, 1.216ns route)
7165
                                       (38.3% logic, 61.7% route)
7166
 
7167
=========================================================================
7168
Timing constraint: Default OFFSET OUT AFTER for Clock 'clk'
7169
  Total number of paths / destination ports: 127 / 91
7170
-------------------------------------------------------------------------
7171
Offset:              7.408ns (Levels of Logic = 1)
7172
  Source:            mctrl0/r.bdrive_0_8 (FF)
7173
  Destination:       data(31) (PAD)
7174
  Source Clock:      clk rising 0.8X
7175
 
7176
  Data Path: mctrl0/r.bdrive_0_8 to data(31)
7177
                                Gate     Net
7178
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
7179
    ----------------------------------------  ------------
7180
     FDP:C->Q              1   0.720   0.801  mctrl0/r.bdrive_0_8 (mctrl0/r.bdrive_0_8)
7181
     IOBUF:T->IO               5.887          bdr[0].data_pad/v[7].x0/xcv.x0/ttl0.slow0.op (data(31))
7182
    ----------------------------------------
7183
    Total                      7.408ns (6.607ns logic, 0.801ns route)
7184
                                       (89.2% logic, 10.8% route)
7185
 
7186
=========================================================================
7187
WARNING:Xst:616 - Invalid property "dont_touch TRUE": Did not attach to ahbjtaggen0.ahbjtag0/tap0/xc3s.u0/u0.
7188
 
7189
 
7190
Total REAL time to Xst completion: 337.00 secs
7191
Total CPU time to Xst completion: 337.63 secs
7192
 
7193
-->
7194
 
7195
Total memory usage is 504092 kilobytes
7196
 
7197
Number of errors   :    0 (   0 filtered)
7198
Number of warnings : 2672 (   0 filtered)
7199
Number of infos    :   33 (   0 filtered)
7200
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.