OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [make.son] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
sonata-compile:
2
        vhdlp -s -work  grlib ../../lib/grlib/stdlib/version.vhd
3
 
4
        vhdlp -s -work  grlib ../../lib/grlib/stdlib/stdio.vhd
5
 
6
        vhdlp -s -work  grlib ../../lib/grlib/sparc/sparc.vhd
7
 
8
        vhdlp -s -work  grlib ../../lib/grlib/sparc/cpu_disas.vhd
9
 
10
        vhdlp -s -work  grlib ../../lib/grlib/modgen/leaves.vhd
11
 
12
        vhdlp -s -work  grlib ../../lib/grlib/amba/devices.vhd
13
 
14
        vhdlp -s -work  grlib ../../lib/grlib/amba/apbctrl.vhd
15
 
16
        vhdlp -s -work  grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd
17
 
18
        vhdlp -s -work  grlib ../../lib/grlib/amba/dma2ahb_tp.vhd
19
 
20
        vhdlp -s -work  unisim ../../lib/tech/unisim/simprims/xilinx_simprims.vhd
21
 
22
        vhdlp -s -work  dw02 ../../lib/tech/dw02/comp/DW02_components.vhd
23
 
24
        vhdlp -s -work  synplify ../../lib/synplify/sim/synattr.vhd
25
 
26
        vhdlp -s -work  techmap ../../lib/techmap/gencomp/netcomp.vhd
27
 
28
        vhdlp -s -work  techmap ../../lib/techmap/inferred/ddr_inferred.vhd
29
 
30
        vhdlp -s -work  techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd
31
 
32
        vhdlp -s -work  techmap ../../lib/techmap/unisim/memory_unisim.vhd
33
 
34
        vhdlp -s -work  techmap ../../lib/techmap/unisim/pads_unisim.vhd
35
 
36
        vhdlp -s -work  techmap ../../lib/techmap/unisim/tap_unisim.vhd
37
 
38
        vhdlp -s -work  techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd
39
 
40
        vhdlp -s -work  techmap ../../lib/techmap/unisim/grfpw_unisim.vhd
41
 
42
        vhdlp -s -work  techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd
43
 
44
        vhdlp -s -work  techmap ../../lib/techmap/maps/allclkgen.vhd
45
 
46
        vhdlp -s -work  techmap ../../lib/techmap/maps/allmem.vhd
47
 
48
        vhdlp -s -work  techmap ../../lib/techmap/maps/alltap.vhd
49
 
50
        vhdlp -s -work  techmap ../../lib/techmap/maps/clkmux.vhd
51
 
52
        vhdlp -s -work  techmap ../../lib/techmap/maps/ddr_ireg.vhd
53
 
54
        vhdlp -s -work  techmap ../../lib/techmap/maps/ddrphy.vhd
55
 
56
        vhdlp -s -work  techmap ../../lib/techmap/maps/syncram64.vhd
57
 
58
        vhdlp -s -work  techmap ../../lib/techmap/maps/syncram_dp.vhd
59
 
60
        vhdlp -s -work  techmap ../../lib/techmap/maps/regfile_3p.vhd
61
 
62
        vhdlp -s -work  techmap ../../lib/techmap/maps/techbuf.vhd
63
 
64
        vhdlp -s -work  techmap ../../lib/techmap/maps/clkpad_ds.vhd
65
 
66
        vhdlp -s -work  techmap ../../lib/techmap/maps/inpad_ds.vhd
67
 
68
        vhdlp -s -work  techmap ../../lib/techmap/maps/iopad.vhd
69
 
70
        vhdlp -s -work  techmap ../../lib/techmap/maps/lvds_combo.vhd
71
 
72
        vhdlp -s -work  techmap ../../lib/techmap/maps/outpad.vhd
73
 
74
        vhdlp -s -work  techmap ../../lib/techmap/maps/toutpad.vhd
75
 
76
        vhdlp -s -work  techmap ../../lib/techmap/maps/grspwc_net.vhd
77
 
78
        vhdlp -s -work  techmap ../../lib/techmap/maps/grfpw_net.vhd
79
 
80
        vhdlp -s -work  techmap ../../lib/techmap/maps/cpu_disas_net.vhd
81
 
82
        vhdlp -s -work  techmap ../../lib/techmap/maps/ringosc.vhd
83
 
84
        vhdlp -s -work  spw ../../lib/spw/comp/spwcomp.vhd
85
 
86
        vhdlp -s -work  eth ../../lib/eth/comp/ethcomp.vhd
87
 
88
        vhdlp -s -work  eth ../../lib/eth/core/eth_rstgen.vhd
89
 
90
        vhdlp -s -work  eth ../../lib/eth/core/greth_tx.vhd
91
 
92
        vhdlp -s -work  eth ../../lib/eth/core/grethc.vhd
93
 
94
        vhdlp -s -work  eth ../../lib/eth/wrapper/greth_gbit_gen.vhd
95
 
96
        vhdlp -s -work  opencores ../../lib/opencores/can/cancomp.vhd
97
 
98
        vhdlp -s -work  opencores ../../lib/opencores/can/can_top_core_sync.vhd
99
 
100
        vhdlp -s -work  opencores ../../lib/opencores/i2c/i2c_master_byte_ctrl.vhd
101
 
102
        vhdlp -s -work  opencores ../../lib/opencores/ata/ud_cnt.vhd
103
 
104
        vhdlp -s -work  opencores ../../lib/opencores/ata/atahost_dma_fifo.vhd
105
 
106
        vhdlp -s -work  opencores ../../lib/opencores/ata/atahost_dma_tctrl.vhd
107
 
108
        vhdlp -s -work  opencores ../../lib/opencores/ata/atahost_pio_actrl.vhd
109
 
110
        vhdlp -s -work  opencores ../../lib/opencores/ata/atahost_pio_controller.vhd
111
 
112
        vhdlp -s -work  gaisler ../../lib/gaisler/arith/arith.vhd
113
 
114
        vhdlp -s -work  gaisler ../../lib/gaisler/arith/div32.vhd
115
 
116
        vhdlp -s -work  gaisler ../../lib/gaisler/memctrl/sdctrl.vhd
117
 
118
        vhdlp -s -work  gaisler ../../lib/gaisler/memctrl/srctrl.vhd
119
 
120
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/leon3.vhd
121
 
122
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmuconfig.vhd
123
 
124
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/libmmu.vhd
125
 
126
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/libcache.vhd
127
 
128
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/cachemem.vhd
129
 
130
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd
131
 
132
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd
133
 
134
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmulru.vhd
135
 
136
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmutw.vhd
137
 
138
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmu_cache.vhd
139
 
140
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/dcache.vhd
141
 
142
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/cache.vhd
143
 
144
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/grfpwx.vhd
145
 
146
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/grlfpwx.vhd
147
 
148
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/dsu3x.vhd
149
 
150
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/proc3.vhd
151
 
152
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/leon3cg.vhd
153
 
154
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd
155
 
156
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/leon3sh.vhd
157
 
158
        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/top.vhd
159
 
160
        vhdlp -s -work  gaisler ../../lib/gaisler/can/can_mod.vhd
161
 
162
        vhdlp -s -work  gaisler ../../lib/gaisler/can/can_mc.vhd
163
 
164
        vhdlp -s -work  gaisler ../../lib/gaisler/can/can_rd.vhd
165
 
166
        vhdlp -s -work  gaisler ../../lib/gaisler/misc/rstgen.vhd
167
 
168
        vhdlp -s -work  gaisler ../../lib/gaisler/misc/ahbram.vhd
169
 
170
        vhdlp -s -work  gaisler ../../lib/gaisler/misc/ahbmst.vhd
171
 
172
        vhdlp -s -work  gaisler ../../lib/gaisler/misc/ahbstat.vhd
173
 
174
        vhdlp -s -work  gaisler ../../lib/gaisler/misc/apbps2.vhd
175
 
176
        vhdlp -s -work  gaisler ../../lib/gaisler/misc/charrom.vhd
177
 
178
        vhdlp -s -work  gaisler ../../lib/gaisler/misc/ahbdma.vhd
179
 
180
        vhdlp -s -work  gaisler ../../lib/gaisler/misc/i2cmst.vhd
181
 
182
        vhdlp -s -work  gaisler ../../lib/gaisler/misc/i2cslv.vhd
183
 
184
        vhdlp -s -work  gaisler ../../lib/gaisler/misc/wild2ahb.vhd
185
 
186
        vhdlp -s -work  gaisler ../../lib/gaisler/uart/uart.vhd
187
 
188
        vhdlp -s -work  gaisler ../../lib/gaisler/uart/apbuart.vhd
189
 
190
        vhdlp -s -work  gaisler ../../lib/gaisler/uart/dcom_uart.vhd
191
 
192
        vhdlp -s -work  gaisler ../../lib/gaisler/sim/sim.vhd
193
 
194
        vhdlp -s -work  gaisler ../../lib/gaisler/sim/ata_device.vhd
195
 
196
        vhdlp -s -work  gaisler ../../lib/gaisler/sim/phy.vhd
197
 
198
        vhdlp -s -work  gaisler ../../lib/gaisler/jtag/jtag.vhd
199
 
200
        vhdlp -s -work  gaisler ../../lib/gaisler/jtag/jtagcom.vhd
201
 
202
        vhdlp -s -work  gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd
203
 
204
        vhdlp -s -work  gaisler ../../lib/gaisler/greth/ethernet_mac.vhd
205
 
206
        vhdlp -s -work  gaisler ../../lib/gaisler/greth/greth_gbit.vhd
207
 
208
        vhdlp -s -work  gaisler ../../lib/gaisler/spacewire/spacewire.vhd
209
 
210
        vhdlp -s -work  gaisler ../../lib/gaisler/spacewire/grspw2.vhd
211
 
212
        vhdlp -s -work  gaisler ../../lib/gaisler/usb/grusb.vhd
213
 
214
        vhdlp -s -work  gaisler ../../lib/gaisler/ata/ata_inf.vhd
215
 
216
        vhdlp -s -work  gaisler ../../lib/gaisler/ata/atahost_ahbmst.vhd
217
 
218
        vhdlp -s -work  gaisler ../../lib/gaisler/ata/atactrl_nodma.vhd
219
 
220
        vhdlp -s -work  gaisler ../../lib/gaisler/ata/atactrl.vhd
221
 
222
        vhdlp -s -work  esa ../../lib/esa/memoryctrl/mctrl.vhd
223
 
224
        vhdlp -s -work  micron ../../lib/micron/sdram/mt48lc16m16a2.vhd
225
 
226
        vhdlp -s -work  sonata ../../lib/work/debug/grtestmod.vhd
227
 
228
        vhdlp -s -work  sonata config.vhd
229
 
230
        vhdlp -s -work  sonata vga_clkgen.vhd
231
 
232
        vhdlp -s -work  sonata testbench.vhd
233
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.