OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [modelsim/] [opencores/] [ac97_rst/] [_primary.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library verilog;
2
use verilog.vl_types.all;
3
entity ac97_rst is
4
    port(
5
        clk             : in     vl_logic;
6
        rst             : in     vl_logic;
7
        rst_force       : in     vl_logic;
8
        ps_ce           : out    vl_logic;
9
        \ac97_rst_\     : out    vl_logic
10
    );
11
end ac97_rst;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.