OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [modelsim/] [work/] [_info] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
m255
2
K3
3
13
4
Z0 cModel Technology
5
Z1 dc:\grlib-gpl-1.0.19-b3188\designs\leon3-gr-xc3s-1500
6
Eahbrom
7
Z2 w1222856212
8
Z3 DPx5 grlib 7 devices 0 22 ibkF=>faOanKS[:3TR2nc2
9
Z4 DPx5 grlib 7 version 0 22 @Q2Ff8VNK0beR8WiNFWKl1
10
Z5 DPx5 grlib 6 stdlib 0 22 9?08Gca[@n1NazR_ZFH8o2
11
Z6 DPx3 std 6 textio 0 22 K]Z^fghZ6B=BjnK5NomDT3
12
Z7 DPx4 ieee 11 numeric_std 0 22 =NSdli^?T5OD8;4F
13
Z8 DPx5 grlib 4 amba 0 22 H7
14
Z9 DPx4 ieee 14 std_logic_1164 0 22 GH1=`jDDBJ=`LM;:Ak`kf2
15
Z10 dC:\grlib-gpl-1.0.19-b3188\designs\leon3-gr-xc3s-1500
16
Z11 8C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/ahbrom.vhd
17
Z12 FC:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/ahbrom.vhd
18
l0
19
L26
20
V3;jU2Ci<3TZ]UCDP6H3RB2
21
Z13 OE;C;6.3f;37
22
31
23
Z14 o-work work -93 -source -nowarn 1 -nowarn 5 -cover s
24
Z15 tShow_source 1 Show_Warning1 0 Show_Warning5 0 Quiet 1
25
Artl
26
R3
27
R4
28
R5
29
R6
30
R7
31
R8
32
R9
33
Z16 DEx4 work 6 ahbrom 0 22 3;jU2Ci<3TZ]UCDP6H3RB2
34
l54
35
L42
36
V@o3H3oMViV27]Zf9Xn]_i1
37
R13
38
31
39
Z17 Mx7 4 ieee 14 std_logic_1164
40
Z18 Mx6 5 grlib 4 amba
41
Z19 Mx5 4 ieee 11 numeric_std
42
Z20 Mx4 3 std 6 textio
43
Z21 Mx3 5 grlib 6 stdlib
44
Z22 Mx2 5 grlib 7 version
45
Z23 Mx1 5 grlib 7 devices
46
R14
47
R15
48
Pconfig
49
R4
50
R5
51
R6
52
R7
53
R8
54
R9
55
Z24 DPx7 techmap 7 gencomp 0 22 ieGYl:j
56
w1271923519
57
R10
58
8C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/config.vhd
59
FC:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/config.vhd
60
l0
61
L20
62
V9XW>DM=:nlTOmkgI?NV8<3
63
R13
64
31
65
Z25 Mx7 7 techmap 7 gencomp
66
Z26 Mx6 4 ieee 14 std_logic_1164
67
Z27 Mx5 5 grlib 4 amba
68
Z28 Mx4 4 ieee 11 numeric_std
69
Z29 Mx3 3 std 6 textio
70
Z30 Mx2 5 grlib 6 stdlib
71
Z31 Mx1 5 grlib 7 version
72
R14
73
R15
74
Ecpu_disas
75
Z32 w1222856210
76
Z33 DPx5 grlib 11 sparc_disas 0 22 PQGlQjKN3oRJUHU_``^eo2
77
Z34 DPx5 grlib 5 sparc 0 22 V?
78
R4
79
R6
80
R5
81
R7
82
R9
83
R10
84
Z35 8C:/grlib-gpl-1.0.19-b3188/lib/work/debug/cpu_disas.vhd
85
Z36 FC:/grlib-gpl-1.0.19-b3188/lib/work/debug/cpu_disas.vhd
86
l0
87
L35
88
V_[``:4`5@F55d@OXdDIWU3
89
R13
90
31
91
R14
92
R15
93
Abehav
94
R33
95
R34
96
R4
97
R6
98
R5
99
R7
100
R9
101
DEx4 work 9 cpu_disas 0 22 _[``:4`5@F55d@OXdDIWU3
102
l52
103
L51
104
VQCiX7CcU`;;bo_=l?b?
105
R13
106
31
107
R17
108
Z37 Mx6 4 ieee 11 numeric_std
109
Z38 Mx5 5 grlib 6 stdlib
110
R20
111
Z39 Mx3 5 grlib 7 version
112
Z40 Mx2 5 grlib 5 sparc
113
Z41 Mx1 5 grlib 11 sparc_disas
114
R14
115
R15
116
Pdebug
117
R4
118
R5
119
R6
120
R7
121
R8
122
R9
123
R32
124
R10
125
8C:/grlib-gpl-1.0.19-b3188/lib/work/debug/debug.vhd
126
FC:/grlib-gpl-1.0.19-b3188/lib/work/debug/debug.vhd
127
l0
128
L30
129
VUELlYSIlmjH[Zmf^9Va_g1
130
R13
131
31
132
R26
133
R27
134
R28
135
R29
136
R30
137
R31
138
R14
139
R15
140
Egaisler_cpu_disas
141
R32
142
R33
143
R34
144
R4
145
R6
146
R5
147
R7
148
R9
149
R10
150
R35
151
R36
152
l0
153
L88
154
VlOIo06b>g1h[Pofe
155
R13
156
31
157
R14
158
R15
159
Abehav
160
R33
161
R34
162
R4
163
R6
164
R5
165
R7
166
R9
167
DEx4 work 17 gaisler_cpu_disas 0 22 lOIo06b>g1h[Pofe
168
l105
169
L104
170
VPz17[e_8jQc2e7X?0<:M[0
171
R13
172
31
173
R17
174
R37
175
R38
176
R20
177
R39
178
R40
179
R41
180
R14
181
R15
182
Egrtestmod
183
R32
184
R3
185
R8
186
Z42 DPx5 grlib 5 stdio 0 22 Gl3OA]@G3JI=
187
R4
188
R7
189
R5
190
R6
191
Z43 DPx7 gaisler 3 sim 0 22 gBNfg^6RC
192
R9
193
R10
194
Z44 8C:/grlib-gpl-1.0.19-b3188/lib/work/debug/grtestmod.vhd
195
Z45 FC:/grlib-gpl-1.0.19-b3188/lib/work/debug/grtestmod.vhd
196
l0
197
L33
198
V9cD]JCK?il;RB;=ES7Y4K2
199
R13
200
31
201
R14
202
R15
203
Asim
204
R3
205
R8
206
R42
207
R4
208
R7
209
R5
210
R6
211
R43
212
R9
213
DEx4 work 9 grtestmod 0 22 9cD]JCK?il;RB;=ES7Y4K2
214
l61
215
L49
216
Vf_YWnd0Ui:MQd3?ekHE>j1
217
R13
218
31
219
Z46 Mx9 4 ieee 14 std_logic_1164
220
Z47 Mx8 7 gaisler 3 sim
221
Z48 Mx7 3 std 6 textio
222
Z49 Mx6 5 grlib 6 stdlib
223
R19
224
Z50 Mx4 5 grlib 7 version
225
Z51 Mx3 5 grlib 5 stdio
226
Z52 Mx2 5 grlib 4 amba
227
R23
228
R14
229
R15
230
Eleon3mp
231
R2
232
Z53 DPx4 work 6 config 0 22 9XW>DM=:nlTOmkgI?NV8<3
233
Z54 DPx3 esa 10 memoryctrl 0 22 NWezKXKnUfBcbN9]LBW:S3
234
Z55 DPx7 gaisler 3 ata 0 22 U?HB9<_bn:N
235
Z56 DPx7 gaisler 5 grusb 0 22 MU2WiY7zTE2`g7H4WLXBn0
236
Z57 DPx7 gaisler 9 spacewire 0 22 Wa7cFSjWOKmWE=fCf
237
Z58 DPx7 gaisler 4 jtag 0 22 ;3fF8nb4e[f^fW;Lh`zWm2
238
Z59 DPx7 gaisler 3 net 0 22 YJjIYA8nf3SmN2KQgH>o62
239
Z60 DPx7 gaisler 3 can 0 22 ]IcCLWU[C6H@kfz_Nf4<72
240
R3
241
Z61 DPx7 gaisler 4 misc 0 22 h5Q[4QHzejXN9aS
242
Z62 DPx7 gaisler 4 uart 0 22 ^:cHHVMA4lcT5[HU_2
243
Z63 DPx7 gaisler 5 leon3 0 22 X?dN6Ki2oUXicS]za@]4c3
244
Z64 DPx7 gaisler 7 memctrl 0 22 D9h1N:bj6S3zUNz8[PzAS3
245
R24
246
R4
247
R5
248
R6
249
R7
250
R8
251
R9
252
R10
253
Z65 8C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd
254
Z66 FC:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd
255
l0
256
L44
257
V4O]FclnP31II0`PIZe3cn0
258
R13
259
31
260
R14
261
R15
262
Artl
263
Z67 DPx7 techmap 9 allclkgen 0 22 ;^4^Qc2dIUUh:UnTEo4@;1
264
Z68 DEx4 work 10 vga_clkgen 0 22 zAUIW24]i@o?SgUhdeVYF2
265
R16
266
R53
267
R54
268
R55
269
R56
270
R57
271
R58
272
R59
273
R60
274
R3
275
R61
276
R62
277
R63
278
R64
279
R24
280
R4
281
R5
282
R6
283
R7
284
R8
285
R9
286
DEx4 work 7 leon3mp 0 22 4O]FclnP31II0`PIZe3cn0
287
l254
288
L163
289
VgcSH^UjDmR9BT0[0MZ;Dl3
290
R13
291
31
292
Mx21 4 ieee 14 std_logic_1164
293
Mx20 5 grlib 4 amba
294
Mx19 4 ieee 11 numeric_std
295
Mx18 3 std 6 textio
296
Mx17 5 grlib 6 stdlib
297
Mx16 5 grlib 7 version
298
Mx15 7 techmap 7 gencomp
299
Mx14 7 gaisler 7 memctrl
300
Mx13 7 gaisler 5 leon3
301
Mx12 7 gaisler 4 uart
302
Mx11 7 gaisler 4 misc
303
Mx10 5 grlib 7 devices
304
Mx9 7 gaisler 3 can
305
Mx8 7 gaisler 3 net
306
Mx7 7 gaisler 4 jtag
307
Mx6 7 gaisler 9 spacewire
308
Mx5 7 gaisler 5 grusb
309
Z69 Mx4 7 gaisler 3 ata
310
Mx3 3 esa 10 memoryctrl
311
Mx2 4 work 6 config
312
Z70 Mx1 7 techmap 9 allclkgen
313
R14
314
R15
315
Etestbench
316
Z71 w1270403637
317
R53
318
Z72 DPx4 work 5 debug 0 22 UELlYSIlmjH[Zmf^9Va_g1
319
Z73 DPx6 micron 10 components 0 22 ZeU
320
R42
321
R43
322
R24
323
R3
324
R61
325
R62
326
R4
327
R5
328
R6
329
R7
330
R8
331
Z74 DPx7 gaisler 7 libdcom 0 22 e57J8O_DBzC;]X?C6:cU63
332
R9
333
R10
334
Z75 8C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/testbench.vhd
335
Z76 FC:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/testbench.vhd
336
l0
337
L29
338
VS8`]c^c8_H>;i8@BK2]@Y0
339
R13
340
31
341
R14
342
R15
343
Abehav
344
R53
345
R72
346
R73
347
R42
348
R43
349
R24
350
R3
351
R61
352
R62
353
R4
354
R5
355
R6
356
R7
357
R8
358
R74
359
R9
360
Z77 DEx4 work 9 testbench 0 22 S8`]c^c8_H>;i8@BK2]@Y0
361
l278
362
L48
363
Z78 V1iAJ
364
R13
365
31
366
Z79 Mx16 4 ieee 14 std_logic_1164
367
Z80 Mx15 7 gaisler 7 libdcom
368
Z81 Mx14 5 grlib 4 amba
369
Z82 Mx13 4 ieee 11 numeric_std
370
Z83 Mx12 3 std 6 textio
371
Z84 Mx11 5 grlib 6 stdlib
372
Z85 Mx10 5 grlib 7 version
373
Z86 Mx9 7 gaisler 4 uart
374
Z87 Mx8 7 gaisler 4 misc
375
Z88 Mx7 5 grlib 7 devices
376
Z89 Mx6 7 techmap 7 gencomp
377
Z90 Mx5 7 gaisler 3 sim
378
Z91 Mx4 5 grlib 5 stdio
379
Z92 Mx3 6 micron 10 components
380
Z93 Mx2 4 work 5 debug
381
Z94 Mx1 4 work 6 config
382
R14
383
R15
384
Evga_clkgen
385
R2
386
R67
387
R4
388
R5
389
R6
390
R7
391
R8
392
R24
393
Z95 DEx6 unisim 4 bufg 0 22 >i7gTFW>YAHP?fDhgT1mM3
394
R9
395
R10
396
Z96 8C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/vga_clkgen.vhd
397
Z97 FC:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/vga_clkgen.vhd
398
l0
399
L13
400
VzAUIW24]i@o?SgUhdeVYF2
401
R13
402
31
403
R14
404
R15
405
Astruct
406
R67
407
R4
408
R5
409
R6
410
R7
411
R8
412
R24
413
R9
414
R68
415
l28
416
L24
417
V3ohjko;U5Li1RENJ`0MC42
418
R13
419
31
420
Z98 Mx8 4 ieee 14 std_logic_1164
421
R25
422
R18
423
R19
424
R20
425
R21
426
R22
427
R70
428
R14
429
R15

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.