OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [tkconfig.h] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
#if defined CONFIG_SYN_INFERRED
2
#define CONFIG_SYN_TECH inferred
3
#elif defined CONFIG_SYN_UMC
4
#define CONFIG_SYN_TECH umc
5
#elif defined CONFIG_SYN_RHUMC
6
#define CONFIG_SYN_TECH rhumc
7
#elif defined CONFIG_SYN_ATC18
8
#define CONFIG_SYN_TECH atc18s
9
#elif defined CONFIG_SYN_ATC18RHA
10
#define CONFIG_SYN_TECH atc18rha
11
#elif defined CONFIG_SYN_AXCEL
12
#define CONFIG_SYN_TECH axcel
13
#elif defined CONFIG_SYN_PROASICPLUS
14
#define CONFIG_SYN_TECH proasic
15
#elif defined CONFIG_SYN_ALTERA
16
#define CONFIG_SYN_TECH altera
17
#elif defined CONFIG_SYN_STRATIX
18
#define CONFIG_SYN_TECH stratix1
19
#elif defined CONFIG_SYN_STRATIXII
20
#define CONFIG_SYN_TECH stratix2
21
#elif defined CONFIG_SYN_STRATIXIII
22
#define CONFIG_SYN_TECH stratix3
23
#elif defined CONFIG_SYN_CYCLONEIII
24
#define CONFIG_SYN_TECH cyclone3
25
#elif defined CONFIG_SYN_EASIC90
26
#define CONFIG_SYN_TECH easic90
27
#elif defined CONFIG_SYN_IHP25
28
#define CONFIG_SYN_TECH ihp25
29
#elif defined CONFIG_SYN_IHP25RH
30
#define CONFIG_SYN_TECH ihp25rh
31
#elif defined CONFIG_SYN_LATTICE
32
#define CONFIG_SYN_TECH lattice
33
#elif defined CONFIG_SYN_ECLIPSE
34
#define CONFIG_SYN_TECH eclipse
35
#elif defined CONFIG_SYN_PEREGRINE
36
#define CONFIG_SYN_TECH peregrine
37
#elif defined CONFIG_SYN_PROASIC
38
#define CONFIG_SYN_TECH proasic
39
#elif defined CONFIG_SYN_PROASIC3
40
#define CONFIG_SYN_TECH apa3
41
#elif defined CONFIG_SYN_SPARTAN2
42
#define CONFIG_SYN_TECH virtex
43
#elif defined CONFIG_SYN_VIRTEX
44
#define CONFIG_SYN_TECH virtex
45
#elif defined CONFIG_SYN_VIRTEXE
46
#define CONFIG_SYN_TECH virtex
47
#elif defined CONFIG_SYN_SPARTAN3
48
#define CONFIG_SYN_TECH spartan3
49
#elif defined CONFIG_SYN_SPARTAN3E
50
#define CONFIG_SYN_TECH spartan3e
51
#elif defined CONFIG_SYN_VIRTEX2
52
#define CONFIG_SYN_TECH virtex2
53
#elif defined CONFIG_SYN_VIRTEX4
54
#define CONFIG_SYN_TECH virtex4
55
#elif defined CONFIG_SYN_VIRTEX5
56
#define CONFIG_SYN_TECH virtex5
57
#elif defined CONFIG_SYN_RH_LIB18T
58
#define CONFIG_SYN_TECH rhlib18t
59
#elif defined CONFIG_SYN_UT025CRH
60
#define CONFIG_SYN_TECH ut25
61
#elif defined CONFIG_SYN_TSMC90
62
#define CONFIG_SYN_TECH tsmc90
63
#elif defined CONFIG_SYN_CUSTOM1
64
#define CONFIG_SYN_TECH custom1
65
#else
66
#error "unknown target technology"
67
#endif
68
 
69
#if defined CONFIG_SYN_INFER_RAM
70
#define CFG_RAM_TECH inferred
71
#elif defined CONFIG_MEM_UMC
72
#define CFG_RAM_TECH umc
73
#elif defined CONFIG_MEM_RHUMC
74
#define CFG_RAM_TECH rhumc
75
#elif defined CONFIG_MEM_VIRAGE
76
#define CFG_RAM_TECH memvirage
77
#elif defined CONFIG_MEM_ARTISAN
78
#define CFG_RAM_TECH memartisan
79
#elif defined CONFIG_MEM_CUSTOM1
80
#define CFG_RAM_TECH custom1
81
#elif defined CONFIG_MEM_VIRAGE90
82
#define CFG_RAM_TECH memvirage90
83
#elif defined CONFIG_MEM_INFERRED
84
#define CFG_RAM_TECH inferred
85
#else
86
#define CFG_RAM_TECH CONFIG_SYN_TECH
87
#endif
88
 
89
#if defined CONFIG_SYN_INFER_PADS
90
#define CFG_PAD_TECH inferred
91
#else
92
#define CFG_PAD_TECH CONFIG_SYN_TECH
93
#endif
94
 
95
#ifndef CONFIG_SYN_NO_ASYNC
96
#define CONFIG_SYN_NO_ASYNC 0
97
#endif
98
 
99
#ifndef CONFIG_SYN_SCAN
100
#define CONFIG_SYN_SCAN 0
101
#endif
102
 
103
 
104
#if defined CONFIG_CLK_ALTDLL
105
#define CFG_CLK_TECH CONFIG_SYN_TECH
106
#elif defined CONFIG_CLK_HCLKBUF
107
#define CFG_CLK_TECH axcel
108
#elif defined CONFIG_CLK_LATDLL
109
#define CFG_CLK_TECH lattice
110
#elif defined CONFIG_CLK_PRO3PLL
111
#define CFG_CLK_TECH apa3
112
#elif defined CONFIG_CLK_CLKDLL
113
#define CFG_CLK_TECH virtex
114
#elif defined CONFIG_CLK_DCM
115
#define CFG_CLK_TECH CONFIG_SYN_TECH
116
#elif defined CONFIG_CLK_LIB18T
117
#define CFG_CLK_TECH rhlib18t
118
#elif defined CONFIG_CLK_RHUMC
119
#define CFG_CLK_TECH rhumc
120
#else
121
#define CFG_CLK_TECH inferred
122
#endif
123
 
124
#ifndef CONFIG_CLK_MUL
125
#define CONFIG_CLK_MUL 2
126
#endif
127
 
128
#ifndef CONFIG_CLK_DIV
129
#define CONFIG_CLK_DIV 2
130
#endif
131
 
132
#ifndef CONFIG_OCLK_DIV
133
#define CONFIG_OCLK_DIV 2
134
#endif
135
 
136
#ifndef CONFIG_PCI_CLKDLL
137
#define CONFIG_PCI_CLKDLL 0
138
#endif
139
 
140
#ifndef CONFIG_PCI_SYSCLK
141
#define CONFIG_PCI_SYSCLK 0
142
#endif
143
 
144
#ifndef CONFIG_CLK_NOFB
145
#define CONFIG_CLK_NOFB 0
146
#endif
147
#ifndef CONFIG_LEON3
148
#define CONFIG_LEON3 0
149
#endif
150
 
151
#ifndef CONFIG_PROC_NUM
152
#define CONFIG_PROC_NUM 1
153
#endif
154
 
155
#ifndef CONFIG_IU_NWINDOWS
156
#define CONFIG_IU_NWINDOWS 8
157
#endif
158
 
159
#ifndef CONFIG_IU_RSTADDR
160
#define CONFIG_IU_RSTADDR 8
161
#endif
162
 
163
#ifndef CONFIG_IU_LDELAY
164
#define CONFIG_IU_LDELAY 1
165
#endif
166
 
167
#ifndef CONFIG_IU_WATCHPOINTS
168
#define CONFIG_IU_WATCHPOINTS 0
169
#endif
170
 
171
#ifdef CONFIG_IU_V8MULDIV
172
#ifdef CONFIG_IU_MUL_LATENCY_4
173
#define CFG_IU_V8 1
174
#elif defined CONFIG_IU_MUL_LATENCY_5
175
#define CFG_IU_V8 2
176
#elif defined CONFIG_IU_MUL_LATENCY_2
177
#define CFG_IU_V8 16#32#
178
#endif
179
#else
180
#define CFG_IU_V8 0
181
#endif
182
 
183
#ifndef CONFIG_PWD
184
#define CONFIG_PWD 0
185
#endif
186
 
187
#ifndef CONFIG_IU_MUL_MAC
188
#define CONFIG_IU_MUL_MAC 0
189
#endif
190
 
191
#ifndef CONFIG_IU_SVT
192
#define CONFIG_IU_SVT 0
193
#endif
194
 
195
#if defined CONFIG_FPU_GRFPC1
196
#define CONFIG_FPU_GRFPC 1
197
#elif defined CONFIG_FPU_GRFPC2
198
#define CONFIG_FPU_GRFPC 2
199
#else
200
#define CONFIG_FPU_GRFPC 0
201
#endif
202
 
203
#if defined CONFIG_FPU_GRFPU_INFMUL
204
#define CONFIG_FPU_GRFPU_MUL 0
205
#elif defined CONFIG_FPU_GRFPU_DWMUL
206
#define CONFIG_FPU_GRFPU_MUL 1
207
#elif defined CONFIG_FPU_GRFPU_MODGEN 
208
#define CONFIG_FPU_GRFPU_MUL 2
209
#else
210
#define CONFIG_FPU_GRFPU_MUL 0
211
#endif
212
 
213
#if defined CONFIG_FPU_GRFPU_SH
214
#define CONFIG_FPU_GRFPU_SHARED 1
215
#else
216
#define CONFIG_FPU_GRFPU_SHARED 0
217
#endif
218
 
219
#if defined CONFIG_FPU_GRFPU
220
#define CONFIG_FPU (1+CONFIG_FPU_GRFPU_MUL)
221
#elif defined CONFIG_FPU_MEIKO
222
#define CONFIG_FPU 15
223
#elif defined CONFIG_FPU_GRFPULITE
224
#define CONFIG_FPU (8+CONFIG_FPU_GRFPC)
225
#else
226
#define CONFIG_FPU 0
227
#endif
228
 
229
#ifndef CONFIG_FPU_NETLIST
230
#define CONFIG_FPU_NETLIST 0
231
#endif
232
 
233
#ifndef CONFIG_ICACHE_ENABLE
234
#define CONFIG_ICACHE_ENABLE 0
235
#endif
236
 
237
#if defined CONFIG_ICACHE_ASSO1
238
#define CFG_IU_ISETS 1
239
#elif defined CONFIG_ICACHE_ASSO2
240
#define CFG_IU_ISETS 2
241
#elif defined CONFIG_ICACHE_ASSO3
242
#define CFG_IU_ISETS 3
243
#elif defined CONFIG_ICACHE_ASSO4
244
#define CFG_IU_ISETS 4
245
#else
246
#define CFG_IU_ISETS 1
247
#endif
248
 
249
#if defined CONFIG_ICACHE_SZ1
250
#define CFG_ICACHE_SZ 1
251
#elif defined CONFIG_ICACHE_SZ2
252
#define CFG_ICACHE_SZ 2
253
#elif defined CONFIG_ICACHE_SZ4
254
#define CFG_ICACHE_SZ 4
255
#elif defined CONFIG_ICACHE_SZ8
256
#define CFG_ICACHE_SZ 8
257
#elif defined CONFIG_ICACHE_SZ16
258
#define CFG_ICACHE_SZ 16
259
#elif defined CONFIG_ICACHE_SZ32
260
#define CFG_ICACHE_SZ 32
261
#elif defined CONFIG_ICACHE_SZ64
262
#define CFG_ICACHE_SZ 64
263
#elif defined CONFIG_ICACHE_SZ128
264
#define CFG_ICACHE_SZ 128
265
#elif defined CONFIG_ICACHE_SZ256
266
#define CFG_ICACHE_SZ 256
267
#else
268
#define CFG_ICACHE_SZ 1
269
#endif
270
 
271
#ifdef CONFIG_ICACHE_LZ16
272
#define CFG_ILINE_SZ 4
273
#else
274
#define CFG_ILINE_SZ 8
275
#endif
276
 
277
#if defined CONFIG_ICACHE_ALGORND
278
#define CFG_ICACHE_ALGORND 2
279
#elif defined CONFIG_ICACHE_ALGOLRR
280
#define CFG_ICACHE_ALGORND 1
281
#else
282
#define CFG_ICACHE_ALGORND 0
283
#endif
284
 
285
#ifndef CONFIG_ICACHE_LOCK
286
#define CONFIG_ICACHE_LOCK 0
287
#endif
288
 
289
#ifndef CONFIG_ICACHE_LRAM
290
#define CONFIG_ICACHE_LRAM 0
291
#endif
292
 
293
#ifndef CONFIG_ICACHE_LRSTART
294
#define CONFIG_ICACHE_LRSTART 8E
295
#endif
296
 
297
#if defined CONFIG_ICACHE_LRAM_SZ2
298
#define CFG_ILRAM_SIZE 2
299
#elif defined CONFIG_ICACHE_LRAM_SZ4
300
#define CFG_ILRAM_SIZE 4
301
#elif defined CONFIG_ICACHE_LRAM_SZ8
302
#define CFG_ILRAM_SIZE 8
303
#elif defined CONFIG_ICACHE_LRAM_SZ16
304
#define CFG_ILRAM_SIZE 16
305
#elif defined CONFIG_ICACHE_LRAM_SZ32
306
#define CFG_ILRAM_SIZE 32
307
#elif defined CONFIG_ICACHE_LRAM_SZ64
308
#define CFG_ILRAM_SIZE 64
309
#elif defined CONFIG_ICACHE_LRAM_SZ128
310
#define CFG_ILRAM_SIZE 128
311
#elif defined CONFIG_ICACHE_LRAM_SZ256
312
#define CFG_ILRAM_SIZE 256
313
#else
314
#define CFG_ILRAM_SIZE 1
315
#endif
316
 
317
 
318
#ifndef CONFIG_DCACHE_ENABLE
319
#define CONFIG_DCACHE_ENABLE 0
320
#endif
321
 
322
#if defined CONFIG_DCACHE_ASSO1
323
#define CFG_IU_DSETS 1
324
#elif defined CONFIG_DCACHE_ASSO2
325
#define CFG_IU_DSETS 2
326
#elif defined CONFIG_DCACHE_ASSO3
327
#define CFG_IU_DSETS 3
328
#elif defined CONFIG_DCACHE_ASSO4
329
#define CFG_IU_DSETS 4
330
#else
331
#define CFG_IU_DSETS 1
332
#endif
333
 
334
#if defined CONFIG_DCACHE_SZ1
335
#define CFG_DCACHE_SZ 1
336
#elif defined CONFIG_DCACHE_SZ2
337
#define CFG_DCACHE_SZ 2
338
#elif defined CONFIG_DCACHE_SZ4
339
#define CFG_DCACHE_SZ 4
340
#elif defined CONFIG_DCACHE_SZ8
341
#define CFG_DCACHE_SZ 8
342
#elif defined CONFIG_DCACHE_SZ16
343
#define CFG_DCACHE_SZ 16
344
#elif defined CONFIG_DCACHE_SZ32
345
#define CFG_DCACHE_SZ 32
346
#elif defined CONFIG_DCACHE_SZ64
347
#define CFG_DCACHE_SZ 64
348
#elif defined CONFIG_DCACHE_SZ128
349
#define CFG_DCACHE_SZ 128
350
#elif defined CONFIG_DCACHE_SZ256
351
#define CFG_DCACHE_SZ 256
352
#else
353
#define CFG_DCACHE_SZ 1
354
#endif
355
 
356
#ifdef CONFIG_DCACHE_LZ16
357
#define CFG_DLINE_SZ 4
358
#else
359
#define CFG_DLINE_SZ 8
360
#endif
361
 
362
#if defined CONFIG_DCACHE_ALGORND
363
#define CFG_DCACHE_ALGORND 2
364
#elif defined CONFIG_DCACHE_ALGOLRR
365
#define CFG_DCACHE_ALGORND 1
366
#else
367
#define CFG_DCACHE_ALGORND 0
368
#endif
369
 
370
#ifndef CONFIG_DCACHE_LOCK
371
#define CONFIG_DCACHE_LOCK 0
372
#endif
373
 
374
#ifndef CONFIG_DCACHE_SNOOP
375
#define CONFIG_DCACHE_SNOOP 0
376
#endif
377
 
378
#ifndef CONFIG_DCACHE_SNOOP_FAST
379
#define CONFIG_DCACHE_SNOOP_FAST 0
380
#endif
381
 
382
#ifndef CONFIG_DCACHE_SNOOP_SEPTAG
383
#define CONFIG_DCACHE_SNOOP_SEPTAG 0
384
#endif
385
 
386
#ifndef CONFIG_CACHE_FIXED
387
#define CONFIG_CACHE_FIXED 0
388
#endif
389
 
390
#ifndef CONFIG_DCACHE_LRAM
391
#define CONFIG_DCACHE_LRAM 0
392
#endif
393
 
394
#ifndef CONFIG_DCACHE_LRSTART
395
#define CONFIG_DCACHE_LRSTART 8F
396
#endif
397
 
398
#if defined CONFIG_DCACHE_LRAM_SZ2
399
#define CFG_DLRAM_SIZE 2
400
#elif defined CONFIG_DCACHE_LRAM_SZ4
401
#define CFG_DLRAM_SIZE 4
402
#elif defined CONFIG_DCACHE_LRAM_SZ8
403
#define CFG_DLRAM_SIZE 8
404
#elif defined CONFIG_DCACHE_LRAM_SZ16
405
#define CFG_DLRAM_SIZE 16
406
#elif defined CONFIG_DCACHE_LRAM_SZ32
407
#define CFG_DLRAM_SIZE 32
408
#elif defined CONFIG_DCACHE_LRAM_SZ64
409
#define CFG_DLRAM_SIZE 64
410
#elif defined CONFIG_DCACHE_LRAM_SZ128
411
#define CFG_DLRAM_SIZE 128
412
#elif defined CONFIG_DCACHE_LRAM_SZ256
413
#define CFG_DLRAM_SIZE 256
414
#else
415
#define CFG_DLRAM_SIZE 1
416
#endif
417
 
418
 
419
#ifdef CONFIG_MMU_ENABLE
420
#define CONFIG_MMUEN 1
421
 
422
#ifdef CONFIG_MMU_SPLIT
423
#define CONFIG_TLB_TYPE 0
424
#endif
425
#ifdef CONFIG_MMU_COMBINED
426
#define CONFIG_TLB_TYPE 1
427
#endif
428
 
429
#ifdef CONFIG_MMU_REPARRAY
430
#define CONFIG_TLB_REP 0
431
#endif
432
#ifdef CONFIG_MMU_REPINCREMENT
433
#define CONFIG_TLB_REP 1
434
#endif
435
 
436
#ifdef CONFIG_MMU_I2 
437
#define CONFIG_ITLBNUM 2
438
#endif
439
#ifdef CONFIG_MMU_I4 
440
#define CONFIG_ITLBNUM 4
441
#endif
442
#ifdef CONFIG_MMU_I8 
443
#define CONFIG_ITLBNUM 8
444
#endif
445
#ifdef CONFIG_MMU_I16 
446
#define CONFIG_ITLBNUM 16
447
#endif
448
#ifdef CONFIG_MMU_I32
449
#define CONFIG_ITLBNUM 32
450
#endif
451
 
452
#define CONFIG_DTLBNUM 2
453
#ifdef CONFIG_MMU_D2 
454
#undef CONFIG_DTLBNUM 
455
#define CONFIG_DTLBNUM 2
456
#endif
457
#ifdef CONFIG_MMU_D4 
458
#undef CONFIG_DTLBNUM 
459
#define CONFIG_DTLBNUM 4
460
#endif
461
#ifdef CONFIG_MMU_D8 
462
#undef CONFIG_DTLBNUM 
463
#define CONFIG_DTLBNUM 8
464
#endif
465
#ifdef CONFIG_MMU_D16 
466
#undef CONFIG_DTLBNUM 
467
#define CONFIG_DTLBNUM 16
468
#endif
469
#ifdef CONFIG_MMU_D32
470
#undef CONFIG_DTLBNUM 
471
#define CONFIG_DTLBNUM 32
472
#endif
473
#ifdef CONFIG_MMU_FASTWB
474
#define CFG_MMU_FASTWB 1
475
#else
476
#define CFG_MMU_FASTWB 0
477
#endif
478
 
479
#else
480
#define CONFIG_MMUEN 0
481
#define CONFIG_ITLBNUM 2
482
#define CONFIG_DTLBNUM 2
483
#define CONFIG_TLB_TYPE 1
484
#define CONFIG_TLB_REP 1
485
#define CFG_MMU_FASTWB 0
486
#endif
487
 
488
#ifndef CONFIG_DSU_ENABLE
489
#define CONFIG_DSU_ENABLE 0
490
#endif
491
 
492
#if defined CONFIG_DSU_ITRACESZ1
493
#define CFG_DSU_ITB 1
494
#elif CONFIG_DSU_ITRACESZ2
495
#define CFG_DSU_ITB 2
496
#elif CONFIG_DSU_ITRACESZ4
497
#define CFG_DSU_ITB 4
498
#elif CONFIG_DSU_ITRACESZ8
499
#define CFG_DSU_ITB 8
500
#elif CONFIG_DSU_ITRACESZ16
501
#define CFG_DSU_ITB 16
502
#else
503
#define CFG_DSU_ITB 0
504
#endif
505
 
506
#if defined CONFIG_DSU_ATRACESZ1
507
#define CFG_DSU_ATB 1
508
#elif CONFIG_DSU_ATRACESZ2
509
#define CFG_DSU_ATB 2
510
#elif CONFIG_DSU_ATRACESZ4
511
#define CFG_DSU_ATB 4
512
#elif CONFIG_DSU_ATRACESZ8
513
#define CFG_DSU_ATB 8
514
#elif CONFIG_DSU_ATRACESZ16
515
#define CFG_DSU_ATB 16
516
#else
517
#define CFG_DSU_ATB 0
518
#endif
519
 
520
#ifndef CONFIG_LEON3FT_EN
521
#define CONFIG_LEON3FT_EN 0
522
#endif
523
 
524
#if defined CONFIG_IUFT_PAR
525
#define CONFIG_IUFT_EN 1
526
#elif defined CONFIG_IUFT_DMR
527
#define CONFIG_IUFT_EN 2
528
#elif defined CONFIG_IUFT_BCH
529
#define CONFIG_IUFT_EN 3
530
#elif defined CONFIG_IUFT_TMR
531
#define CONFIG_IUFT_EN 4
532
#else
533
#define CONFIG_IUFT_EN 0
534
#endif
535
#ifndef CONFIG_RF_ERRINJ
536
#define CONFIG_RF_ERRINJ 0
537
#endif
538
 
539
#ifndef CONFIG_FPUFT_EN
540
#define CONFIG_FPUFT 0
541
#else
542
#ifdef CONFIG_FPU_GRFPU
543
#define CONFIG_FPUFT 2
544
#else
545
#define CONFIG_FPUFT 1
546
#endif
547
#endif
548
 
549
#ifndef CONFIG_CACHE_FT_EN
550
#define CONFIG_CACHE_FT_EN 0
551
#endif
552
#ifndef CONFIG_CACHE_ERRINJ
553
#define CONFIG_CACHE_ERRINJ 0
554
#endif
555
 
556
#ifndef CONFIG_LEON3_NETLIST
557
#define CONFIG_LEON3_NETLIST 0
558
#endif
559
 
560
#ifdef CONFIG_DEBUG_PC32
561
#define CFG_DEBUG_PC32 0 
562
#else
563
#define CFG_DEBUG_PC32 2
564
#endif
565
#ifndef CONFIG_IU_DISAS
566
#define CONFIG_IU_DISAS 0
567
#endif
568
#ifndef CONFIG_IU_DISAS_NET
569
#define CONFIG_IU_DISAS_NET 0
570
#endif
571
 
572
 
573
#ifndef CONFIG_AHB_SPLIT
574
#define CONFIG_AHB_SPLIT 0
575
#endif
576
 
577
#ifndef CONFIG_AHB_RROBIN
578
#define CONFIG_AHB_RROBIN 0
579
#endif
580
 
581
#ifndef CONFIG_AHB_IOADDR
582
#define CONFIG_AHB_IOADDR FFF
583
#endif
584
 
585
#ifndef CONFIG_APB_HADDR
586
#define CONFIG_APB_HADDR 800
587
#endif
588
 
589
#ifndef CONFIG_AHB_MON
590
#define CONFIG_AHB_MON 0
591
#endif
592
 
593
#ifndef CONFIG_AHB_MONERR
594
#define CONFIG_AHB_MONERR 0
595
#endif
596
 
597
#ifndef CONFIG_AHB_MONWAR
598
#define CONFIG_AHB_MONWAR 0
599
#endif
600
 
601
#ifndef CONFIG_DSU_UART
602
#define CONFIG_DSU_UART 0
603
#endif
604
 
605
 
606
#ifndef CONFIG_DSU_JTAG
607
#define CONFIG_DSU_JTAG 0
608
#endif
609
 
610
#ifndef CONFIG_GRUSB_DCL
611
#define CONFIG_GRUSB_DCL 0
612
#endif
613
 
614
#if defined CONFIG_GRUSB_DCL_UTMI16
615
#define CONFIG_GRUSB_DCL_UIFACE 0
616
#define CONFIG_GRUSB_DCL_DW 16
617
#elif defined CONFIG_GRUSB_DCL_UTMI8
618
#define CONFIG_GRUSB_DCL_UIFACE 0
619
#define CONFIG_GRUSB_DCL_DW 8
620
#else
621
#define CONFIG_GRUSB_DCL_UIFACE 1
622
#define CONFIG_GRUSB_DCL_DW 8
623
#endif
624
#ifndef CONFIG_DSU_ETH
625
#define CONFIG_DSU_ETH 0
626
#endif
627
 
628
#ifndef CONFIG_DSU_IPMSB
629
#define CONFIG_DSU_IPMSB C0A8
630
#endif
631
 
632
#ifndef CONFIG_DSU_IPLSB
633
#define CONFIG_DSU_IPLSB 0033
634
#endif
635
 
636
#ifndef CONFIG_DSU_ETHMSB
637
#define CONFIG_DSU_ETHMSB 00007A
638
#endif
639
 
640
#ifndef CONFIG_DSU_ETHLSB
641
#define CONFIG_DSU_ETHLSB CC0001
642
#endif
643
 
644
#if defined CONFIG_DSU_ETHSZ1
645
#define CFG_DSU_ETHB 1
646
#elif CONFIG_DSU_ETHSZ2
647
#define CFG_DSU_ETHB 2
648
#elif CONFIG_DSU_ETHSZ4
649
#define CFG_DSU_ETHB 4
650
#elif CONFIG_DSU_ETHSZ8
651
#define CFG_DSU_ETHB 8
652
#elif CONFIG_DSU_ETHSZ16
653
#define CFG_DSU_ETHB 16
654
#elif CONFIG_DSU_ETHSZ32
655
#define CFG_DSU_ETHB 32
656
#else
657
#define CFG_DSU_ETHB 1
658
#endif
659
 
660
#ifndef CONFIG_DSU_ETH_PROG
661
#define CONFIG_DSU_ETH_PROG 0
662
#endif
663
 
664
#ifndef CONFIG_MCTRL_LEON2
665
#define CONFIG_MCTRL_LEON2 0
666
#endif
667
 
668
#ifndef CONFIG_MCTRL_SDRAM
669
#define CONFIG_MCTRL_SDRAM 0
670
#endif
671
 
672
#ifndef CONFIG_MCTRL_SDRAM_SEPBUS
673
#define CONFIG_MCTRL_SDRAM_SEPBUS 0
674
#endif
675
 
676
#ifndef CONFIG_MCTRL_SDRAM_INVCLK
677
#define CONFIG_MCTRL_SDRAM_INVCLK 0
678
#endif
679
 
680
#ifndef CONFIG_MCTRL_SDRAM_BUS64
681
#define CONFIG_MCTRL_SDRAM_BUS64 0
682
#endif
683
 
684
#ifndef CONFIG_MCTRL_8BIT
685
#define CONFIG_MCTRL_8BIT 0
686
#endif
687
 
688
#ifndef CONFIG_MCTRL_16BIT
689
#define CONFIG_MCTRL_16BIT 0
690
#endif
691
 
692
#ifndef CONFIG_MCTRL_5CS
693
#define CONFIG_MCTRL_5CS 0
694
#endif
695
 
696
#ifndef CONFIG_MCTRL_EDAC
697
#define CONFIG_MCTRL_EDAC 0
698
#endif
699
 
700
#ifndef CONFIG_MCTRL_PAGE
701
#define CONFIG_MCTRL_PAGE 0
702
#endif
703
 
704
#ifndef CONFIG_MCTRL_PROGPAGE
705
#define CONFIG_MCTRL_PROGPAGE 0
706
#endif
707
 
708
#ifndef CONFIG_AHBSTAT_ENABLE
709
#define CONFIG_AHBSTAT_ENABLE  0
710
#endif
711
 
712
#ifndef CONFIG_AHBSTAT_NFTSLV
713
#define CONFIG_AHBSTAT_NFTSLV  1
714
#endif
715
 
716
#ifndef CONFIG_AHBROM_ENABLE
717
#define CONFIG_AHBROM_ENABLE 0
718
#endif
719
 
720
#ifndef CONFIG_AHBROM_START
721
#define CONFIG_AHBROM_START 000
722
#endif
723
 
724
#ifndef CONFIG_AHBROM_PIPE
725
#define CONFIG_AHBROM_PIPE 0
726
#endif
727
 
728
#if (CONFIG_AHBROM_START == 0) && (CONFIG_AHBROM_ENABLE == 1)
729
#define CONFIG_ROM_START 100
730
#else
731
#define CONFIG_ROM_START 000
732
#endif
733
 
734
 
735
#ifndef CONFIG_AHBRAM_ENABLE
736
#define CONFIG_AHBRAM_ENABLE 0
737
#endif
738
 
739
#ifndef CONFIG_AHBRAM_START
740
#define CONFIG_AHBRAM_START A00
741
#endif
742
 
743
#if defined CONFIG_AHBRAM_SZ1
744
#define CFG_AHBRAMSZ 1
745
#elif CONFIG_AHBRAM_SZ2
746
#define CFG_AHBRAMSZ 2
747
#elif CONFIG_AHBRAM_SZ4
748
#define CFG_AHBRAMSZ 4
749
#elif CONFIG_AHBRAM_SZ8
750
#define CFG_AHBRAMSZ 8
751
#elif CONFIG_AHBRAM_SZ16
752
#define CFG_AHBRAMSZ 16
753
#elif CONFIG_AHBRAM_SZ32
754
#define CFG_AHBRAMSZ 32
755
#elif CONFIG_AHBRAM_SZ64
756
#define CFG_AHBRAMSZ 64
757
#else
758
#define CFG_AHBRAMSZ 1
759
#endif
760
 
761
#ifndef CONFIG_GRETH_ENABLE
762
#define CONFIG_GRETH_ENABLE 0
763
#endif
764
 
765
#ifndef CONFIG_GRETH_GIGA
766
#define CONFIG_GRETH_GIGA 0
767
#endif
768
 
769
#if defined CONFIG_GRETH_FIFO4
770
#define CFG_GRETH_FIFO 4
771
#elif defined CONFIG_GRETH_FIFO8
772
#define CFG_GRETH_FIFO 8
773
#elif defined CONFIG_GRETH_FIFO16
774
#define CFG_GRETH_FIFO 16
775
#elif defined CONFIG_GRETH_FIFO32
776
#define CFG_GRETH_FIFO 32
777
#elif defined CONFIG_GRETH_FIFO64
778
#define CFG_GRETH_FIFO 64
779
#else
780
#define CFG_GRETH_FIFO 8
781
#endif
782
 
783
#ifndef CONFIG_ATA_ENABLE
784
#define CONFIG_ATA_ENABLE 0
785
#endif
786
 
787
#ifndef CONFIG_ATAIO
788
#define CONFIG_ATAIO 0
789
#endif
790
 
791
#ifndef CONFIG_ATAIRQ
792
#define CONFIG_ATAIRQ 0
793
#endif
794
 
795
#ifndef CONFIG_ATA_MWDMA
796
#define CONFIG_ATA_MWDMA 0
797
#endif
798
 
799
#ifndef CONFIG_ATA_FIFO
800
#define CONFIG_ATA_FIFO 8
801
#endif
802
#ifndef CONFIG_CAN_ENABLE
803
#define CONFIG_CAN_ENABLE 0
804
#endif
805
 
806
#ifndef CONFIG_CAN_NUM
807
#define CONFIG_CAN_NUM 1
808
#endif
809
 
810
#ifndef CONFIG_CANIO
811
#define CONFIG_CANIO 0
812
#endif
813
 
814
#ifndef CONFIG_CANIRQ
815
#define CONFIG_CANIRQ 0
816
#endif
817
 
818
#ifndef CONFIG_CANSEPIRQ
819
#define CONFIG_CANSEPIRQ 0
820
#endif
821
 
822
#ifndef CONFIG_CAN_SYNCRST
823
#define CONFIG_CAN_SYNCRST 0
824
#endif
825
 
826
#ifndef CONFIG_CAN_FT
827
#define CONFIG_CAN_FT 0
828
#endif
829
 
830
#ifndef CONFIG_GRUSBDC_ENABLE
831
#define CONFIG_GRUSBDC_ENABLE 0
832
#endif
833
 
834
#ifndef CONFIG_GRUSBDC_AIFACE
835
#define CONFIG_GRUSBDC_AIFACE 0
836
#endif
837
 
838
#if defined CONFIG_GRUSBDC_UTMI16
839
#define CONFIG_GRUSBDC_UIFACE 0
840
#define CONFIG_GRUSBDC_DW 16
841
#elif defined CONFIG_GRUSBDC_UTMI8
842
#define CONFIG_GRUSBDC_UIFACE 0
843
#define CONFIG_GRUSBDC_DW 8
844
#else
845
#define CONFIG_GRUSBDC_UIFACE 1
846
#define CONFIG_GRUSBDC_DW 8
847
#endif
848
 
849
#ifndef CONFIG_GRUSBDC_NEPI
850
#define CONFIG_GRUSBDC_NEPI 1
851
#endif
852
 
853
#ifndef CONFIG_GRUSBDC_NEPO
854
#define CONFIG_GRUSBDC_NEPO 1
855
#endif
856
 
857
#ifndef CONFIG_GRUSBDC_I0
858
#define CONFIG_GRUSBDC_I0 1024
859
#endif
860
#ifndef CONFIG_GRUSBDC_I1
861
#define CONFIG_GRUSBDC_I1 1024
862
#endif
863
#ifndef CONFIG_GRUSBDC_I2
864
#define CONFIG_GRUSBDC_I2 1024
865
#endif
866
#ifndef CONFIG_GRUSBDC_I3
867
#define CONFIG_GRUSBDC_I3 1024
868
#endif
869
#ifndef CONFIG_GRUSBDC_I4
870
#define CONFIG_GRUSBDC_I4 1024
871
#endif
872
#ifndef CONFIG_GRUSBDC_I5
873
#define CONFIG_GRUSBDC_I5 1024
874
#endif
875
#ifndef CONFIG_GRUSBDC_I6
876
#define CONFIG_GRUSBDC_I6 1024
877
#endif
878
#ifndef CONFIG_GRUSBDC_I7
879
#define CONFIG_GRUSBDC_I7 1024
880
#endif
881
#ifndef CONFIG_GRUSBDC_I8
882
#define CONFIG_GRUSBDC_I8 1024
883
#endif
884
#ifndef CONFIG_GRUSBDC_I9
885
#define CONFIG_GRUSBDC_I9 1024
886
#endif
887
#ifndef CONFIG_GRUSBDC_I10
888
#define CONFIG_GRUSBDC_I10 1024
889
#endif
890
#ifndef CONFIG_GRUSBDC_I11
891
#define CONFIG_GRUSBDC_I11 1024
892
#endif
893
#ifndef CONFIG_GRUSBDC_I12
894
#define CONFIG_GRUSBDC_I12 1024
895
#endif
896
#ifndef CONFIG_GRUSBDC_I13
897
#define CONFIG_GRUSBDC_I13 1024
898
#endif
899
#ifndef CONFIG_GRUSBDC_I14
900
#define CONFIG_GRUSBDC_I14 1024
901
#endif
902
#ifndef CONFIG_GRUSBDC_I15
903
#define CONFIG_GRUSBDC_I15 1024
904
#endif
905
#ifndef CONFIG_GRUSBDC_O0
906
#define CONFIG_GRUSBDC_O0 1024
907
#endif
908
#ifndef CONFIG_GRUSBDC_O1
909
#define CONFIG_GRUSBDC_O1 1024
910
#endif
911
#ifndef CONFIG_GRUSBDC_O2
912
#define CONFIG_GRUSBDC_O2 1024
913
#endif
914
#ifndef CONFIG_GRUSBDC_O3
915
#define CONFIG_GRUSBDC_O3 1024
916
#endif
917
#ifndef CONFIG_GRUSBDC_O4
918
#define CONFIG_GRUSBDC_O4 1024
919
#endif
920
#ifndef CONFIG_GRUSBDC_O5
921
#define CONFIG_GRUSBDC_O5 1024
922
#endif
923
#ifndef CONFIG_GRUSBDC_O6
924
#define CONFIG_GRUSBDC_O6 1024
925
#endif
926
#ifndef CONFIG_GRUSBDC_O7
927
#define CONFIG_GRUSBDC_O7 1024
928
#endif
929
#ifndef CONFIG_GRUSBDC_O8
930
#define CONFIG_GRUSBDC_O8 1024
931
#endif
932
#ifndef CONFIG_GRUSBDC_O9
933
#define CONFIG_GRUSBDC_O9 1024
934
#endif
935
#ifndef CONFIG_GRUSBDC_O10
936
#define CONFIG_GRUSBDC_O10 1024
937
#endif
938
#ifndef CONFIG_GRUSBDC_O11
939
#define CONFIG_GRUSBDC_O11 1024
940
#endif
941
#ifndef CONFIG_GRUSBDC_O12
942
#define CONFIG_GRUSBDC_O12 1024
943
#endif
944
#ifndef CONFIG_GRUSBDC_O13
945
#define CONFIG_GRUSBDC_O13 1024
946
#endif
947
#ifndef CONFIG_GRUSBDC_O14
948
#define CONFIG_GRUSBDC_O14 1024
949
#endif
950
#ifndef CONFIG_GRUSBDC_O15
951
#define CONFIG_GRUSBDC_O15 1024
952
#endif
953
#ifndef CONFIG_UART1_ENABLE
954
#define CONFIG_UART1_ENABLE 0
955
#endif
956
 
957
#if defined CONFIG_UA1_FIFO1
958
#define CFG_UA1_FIFO 1
959
#elif defined CONFIG_UA1_FIFO2
960
#define CFG_UA1_FIFO 2
961
#elif defined CONFIG_UA1_FIFO4
962
#define CFG_UA1_FIFO 4
963
#elif defined CONFIG_UA1_FIFO8
964
#define CFG_UA1_FIFO 8
965
#elif defined CONFIG_UA1_FIFO16
966
#define CFG_UA1_FIFO 16
967
#elif defined CONFIG_UA1_FIFO32
968
#define CFG_UA1_FIFO 32
969
#else
970
#define CFG_UA1_FIFO 1
971
#endif
972
 
973
#ifndef CONFIG_UART2_ENABLE
974
#define CONFIG_UART2_ENABLE 0
975
#endif
976
 
977
#if defined CONFIG_UA2_FIFO1
978
#define CFG_UA2_FIFO 1
979
#elif defined CONFIG_UA2_FIFO2
980
#define CFG_UA2_FIFO 2
981
#elif defined CONFIG_UA2_FIFO4
982
#define CFG_UA2_FIFO 4
983
#elif defined CONFIG_UA2_FIFO8
984
#define CFG_UA2_FIFO 8
985
#elif defined CONFIG_UA2_FIFO16
986
#define CFG_UA2_FIFO 16
987
#elif defined CONFIG_UA2_FIFO32
988
#define CFG_UA2_FIFO 32
989
#else
990
#define CFG_UA2_FIFO 1
991
#endif
992
 
993
#ifndef CONFIG_IRQ3_ENABLE
994
#define CONFIG_IRQ3_ENABLE 0
995
#endif
996
#ifndef CONFIG_IRQ3_NSEC
997
#define CONFIG_IRQ3_NSEC 0
998
#endif
999
#ifndef CONFIG_GPT_ENABLE
1000
#define CONFIG_GPT_ENABLE 0
1001
#endif
1002
 
1003
#ifndef CONFIG_GPT_NTIM
1004
#define CONFIG_GPT_NTIM 1
1005
#endif
1006
 
1007
#ifndef CONFIG_GPT_SW
1008
#define CONFIG_GPT_SW 8
1009
#endif
1010
 
1011
#ifndef CONFIG_GPT_TW
1012
#define CONFIG_GPT_TW 8
1013
#endif
1014
 
1015
#ifndef CONFIG_GPT_IRQ
1016
#define CONFIG_GPT_IRQ 8
1017
#endif
1018
 
1019
#ifndef CONFIG_GPT_SEPIRQ
1020
#define CONFIG_GPT_SEPIRQ 0
1021
#endif
1022
#ifndef CONFIG_GPT_ENABLE
1023
#define CONFIG_GPT_ENABLE 0
1024
#endif
1025
 
1026
#ifndef CONFIG_GPT_NTIM
1027
#define CONFIG_GPT_NTIM 1
1028
#endif
1029
 
1030
#ifndef CONFIG_GPT_SW
1031
#define CONFIG_GPT_SW 8
1032
#endif
1033
 
1034
#ifndef CONFIG_GPT_TW
1035
#define CONFIG_GPT_TW 8
1036
#endif
1037
 
1038
#ifndef CONFIG_GPT_IRQ
1039
#define CONFIG_GPT_IRQ 8
1040
#endif
1041
 
1042
#ifndef CONFIG_GPT_SEPIRQ
1043
#define CONFIG_GPT_SEPIRQ 0
1044
#endif
1045
 
1046
#ifndef CONFIG_GPT_WDOGEN
1047
#define CONFIG_GPT_WDOGEN 0
1048
#endif
1049
 
1050
#ifndef CONFIG_GPT_WDOG
1051
#define CONFIG_GPT_WDOG 0
1052
#endif
1053
 
1054
#ifndef CONFIG_GRGPIO_ENABLE
1055
#define CONFIG_GRGPIO_ENABLE 0
1056
#endif
1057
#ifndef CONFIG_GRGPIO_IMASK
1058
#define CONFIG_GRGPIO_IMASK 0000
1059
#endif
1060
#ifndef CONFIG_GRGPIO_WIDTH
1061
#define CONFIG_GRGPIO_WIDTH 1
1062
#endif
1063
 
1064
#ifndef CONFIG_SPW_ENABLE
1065
#define CONFIG_SPW_ENABLE 0
1066
#endif
1067
 
1068
#ifndef CONFIG_SPW_NUM
1069
#define CONFIG_SPW_NUM 1
1070
#endif
1071
 
1072
#if defined CONFIG_SPW_AHBFIFO4
1073
#define CONFIG_SPW_AHBFIFO 4
1074
#elif defined CONFIG_SPW_AHBFIFO8
1075
#define CONFIG_SPW_AHBFIFO 8
1076
#elif defined CONFIG_SPW_AHBFIFO16
1077
#define CONFIG_SPW_AHBFIFO 16
1078
#elif defined CONFIG_SPW_AHBFIFO32
1079
#define CONFIG_SPW_AHBFIFO 32
1080
#elif defined CONFIG_SPW_AHBFIFO64
1081
#define CONFIG_SPW_AHBFIFO 64
1082
#else
1083
#define CONFIG_SPW_AHBFIFO 4
1084
#endif
1085
 
1086
#if defined CONFIG_SPW_RXFIFO16
1087
#define CONFIG_SPW_RXFIFO 16
1088
#elif defined CONFIG_SPW_RXFIFO32
1089
#define CONFIG_SPW_RXFIFO 32
1090
#elif defined CONFIG_SPW_RXFIFO64
1091
#define CONFIG_SPW_RXFIFO 64
1092
#else
1093
#define CONFIG_SPW_RXFIFO 16
1094
#endif
1095
 
1096
#ifndef CONFIG_SPW_RMAP
1097
#define CONFIG_SPW_RMAP 0
1098
#endif
1099
 
1100
#if defined CONFIG_SPW_RMAPBUF2
1101
#define CONFIG_SPW_RMAPBUF 2
1102
#elif defined CONFIG_SPW_RMAPBUF4
1103
#define CONFIG_SPW_RMAPBUF 4
1104
#elif defined CONFIG_SPW_RMAPBUF6
1105
#define CONFIG_SPW_RMAPBUF 6
1106
#elif defined CONFIG_SPW_RMAPBUF8
1107
#define CONFIG_SPW_RMAPBUF 8
1108
#else
1109
#define CONFIG_SPW_RMAPBUF 4
1110
#endif
1111
 
1112
#ifndef CONFIG_SPW_RMAPCRC
1113
#define CONFIG_SPW_RMAPCRC 0
1114
#endif
1115
 
1116
#ifndef CONFIG_SPW_NETLIST
1117
#define CONFIG_SPW_NETLIST 0
1118
#endif
1119
 
1120
#ifndef CONFIG_SPW_FT
1121
#define CONFIG_SPW_FT 0
1122
#endif
1123
 
1124
#if defined CONFIG_SPW_GRSPW1
1125
#define CONFIG_SPW_GRSPW 1
1126
#else
1127
#define CONFIG_SPW_GRSPW 2
1128
#endif
1129
 
1130
#ifndef CONFIG_VGA_ENABLE
1131
#define CONFIG_VGA_ENABLE 0
1132
#endif
1133
#ifndef CONFIG_SVGA_ENABLE
1134
#define CONFIG_SVGA_ENABLE 0
1135
#endif
1136
#ifndef CONFIG_KBD_ENABLE
1137
#define CONFIG_KBD_ENABLE 0
1138
#endif
1139
 
1140
 
1141
#ifndef CONFIG_DEBUG_UART
1142
#define CONFIG_DEBUG_UART 0
1143
#endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.