OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-memec-v2mb1000/] [leon3mp.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  LEON3 Demonstration design
3
--  Copyright (C) 2006 Jiri Gaisler, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
18
------------------------------------------------------------------------------
19
 
20
library ieee;
21
use ieee.std_logic_1164.all;
22
library grlib;
23
use grlib.amba.all;
24
use grlib.stdlib.all;
25
use grlib.devices.all;
26
library techmap;
27
use techmap.gencomp.all;
28
use techmap.allclkgen.all;
29
library gaisler;
30
use gaisler.memctrl.all;
31
use gaisler.leon3.all;
32
use gaisler.uart.all;
33
use gaisler.misc.all;
34
use gaisler.net.all;
35
use gaisler.jtag.all;
36
library esa;
37
use esa.memoryctrl.all;
38
use work.config.all;
39
 
40
entity leon3mp is
41
  generic (
42
    fabtech : integer := CFG_FABTECH;
43
    memtech : integer := CFG_MEMTECH;
44
    padtech : integer := CFG_PADTECH;
45
    clktech : integer := CFG_CLKTECH;
46
    disas   : integer := CFG_DISAS;     -- Enable disassembly to console
47
    dbguart : integer := CFG_DUART;     -- Print UART on console
48
    pclow   : integer := CFG_PCLOW;
49
    ddrfreq    : integer := 100000  -- frequency of ddr clock in kHz 
50
    );
51
  port (
52
    resetn  : in  std_ulogic;
53
    clk_100mhz : in  std_ulogic;
54
 
55
    -- prom/sram interface
56
    address : out   std_logic_vector(22 downto 0);
57
    data    : inout std_logic_vector(31 downto 0);
58
    romsn   : out   std_ulogic;
59
    ramsn   : out   std_ulogic;
60
    oen     : out   std_ulogic;
61
    writen  : out   std_ulogic;
62
    mben    : out   std_logic_vector(3 downto 0);
63
    romrstn : out   std_ulogic;
64
-- pragma translate_off
65
    iosn    : out   std_ulogic;
66
    errorn  : out   std_ulogic;
67
-- pragma translate_on 
68
 
69
    -- PS2 port
70
    ps2_clk     : inout std_logic;
71
    ps2_data    : inout std_logic;
72
 
73
    -- ddr memory  
74
    ddr_clk0    : out std_logic;
75
    ddr_clk0b   : out std_logic;
76
    ddr_clk_fb  : in std_logic;
77
    ddr_cke0    : out std_logic;
78
    ddr_cs0b    : out std_logic;
79
    ddr_web     : out std_ulogic;                       -- ddr write enable
80
    ddr_rasb    : out std_ulogic;                       -- ddr ras
81
    ddr_casb    : out std_ulogic;                       -- ddr cas
82
    ddr_dm      : out std_logic_vector (1 downto 0);    -- ddr dm
83
    ddr_dqs     : inout std_logic_vector (1 downto 0);    -- ddr dqs
84
    ddr_ad      : out std_logic_vector (12 downto 0);   -- ddr address
85
    ddr_ba      : out std_logic_vector (1 downto 0);    -- ddr bank address
86
    ddr_dq      : inout std_logic_vector (15 downto 0); -- ddr data
87
 
88
         -- debug support unit
89
    dsubre  : in  std_ulogic;
90
    dsuact  : out std_ulogic;
91
    dsurx   : in std_ulogic;
92
    dsutx   : out std_ulogic;
93
 
94
    -- UART for serial console I/O
95
    rxd1 : in std_ulogic;
96
    txd1 : out std_ulogic;
97
 
98
    segm_lo  : out   std_logic_vector(6 downto 0);
99
    segm_hi  : out   std_logic_vector(6 downto 0);
100
    dip      : in    std_logic_vector(7 downto 0);
101
 
102
    -- ethernet signals
103
    emdio   : inout std_logic;          -- ethernet PHY interface
104
    etx_clk : in    std_ulogic;
105
    erx_clk : in    std_ulogic;
106
    erxd    : in    std_logic_vector(3 downto 0);
107
    erx_dv  : in    std_ulogic;
108
    erx_er  : in    std_ulogic;
109
    erx_col : in    std_ulogic;
110
    erx_crs : in    std_ulogic;
111
    etxd    : out   std_logic_vector(3 downto 0);
112
    etx_en  : out   std_ulogic;
113
    etx_er  : out   std_ulogic;
114
    emdc    : out   std_ulogic;
115
    erstn   : out   std_ulogic
116
 
117
    );
118
end;
119
 
120
architecture rtl of leon3mp is
121
 
122
  constant blength   : integer := 12;
123
  constant fifodepth : integer := 8;
124
 
125
  signal vcc, gnd   : std_logic_vector(4 downto 0);
126
  signal memi       : memory_in_type;
127
  signal memo       : memory_out_type;
128
  signal wpo        : wprot_out_type;
129
  signal sdi        : sdctrl_in_type;
130
  signal sdo       : sdctrl_out_type;
131
 
132
  signal gpioi : gpio_in_type;
133
  signal gpioo : gpio_out_type;
134
  signal kbdi  : ps2_in_type;
135
  signal kbdo  : ps2_out_type;
136
 
137
  signal apbi  : apb_slv_in_type;
138
  signal apbo  : apb_slv_out_vector := (others => apb_none);
139
  signal ahbsi : ahb_slv_in_type;
140
  signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
141
  signal ahbmi : ahb_mst_in_type;
142
  signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
143
 
144
  signal lclk : std_ulogic;
145
  signal ddrclk, ddrrst, ddrclkfb : std_ulogic;
146
 
147
  signal clkm, rstn, clkml, clk2x : std_ulogic;
148
  signal cgi                : clkgen_in_type;
149
  signal cgo                : clkgen_out_type;
150
  signal u1i, dui           : uart_in_type;
151
  signal u1o, duo           : uart_out_type;
152
 
153
  signal irqi : irq_in_vector(0 to CFG_NCPU-1);
154
  signal irqo : irq_out_vector(0 to CFG_NCPU-1);
155
 
156
  signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
157
  signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
158
 
159
  signal dsui : dsu_in_type;
160
  signal dsuo : dsu_out_type;
161
 
162
  signal ethi, ethi1, ethi2 : eth_in_type;
163
  signal etho, etho1, etho2 : eth_out_type;
164
 
165
  signal gpti : gptimer_in_type;
166
 
167
  signal tck, tms, tdi, tdo : std_ulogic;
168
 
169
--  signal dsubre         : std_logic;
170
  signal duart, ldsuen   : std_logic;
171
  signal rsertx, rserrx, rdsuen   : std_logic;
172
 
173
  signal rstraw : std_logic;
174
  signal rstneg : std_logic;
175
  signal lock : std_logic;
176
 
177
  signal ddr_clk        : std_logic_vector(2 downto 0);
178
  signal ddr_clkb       : std_logic_vector(2 downto 0);
179
  signal ddr_cke        : std_logic_vector(1 downto 0);
180
  signal ddr_csb        : std_logic_vector(1 downto 0);
181
  signal ddr_adl        : std_logic_vector(13 downto 0);   -- ddr address
182
 
183
  attribute keep : boolean;
184
  attribute syn_keep : boolean;
185
  attribute syn_preserve : boolean;
186
  attribute syn_keep of clkml : signal is true;
187
  attribute syn_preserve of clkml : signal is true;
188
  attribute keep of lock : signal is true;
189
 
190
  constant BOARD_FREQ : integer := 100000;   -- input frequency in KHz
191
  constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV;  -- cpu frequency in KHz
192
 
193
begin
194
 
195
  romrstn <= rstn;
196
 
197
----------------------------------------------------------------------
198
---  Reset and Clock generation  -------------------------------------
199
----------------------------------------------------------------------
200
 
201
  vcc <= (others => '1'); gnd <= (others => '0');
202
  cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
203
  rstneg <= resetn;
204
 
205
  rst0 : rstgen port map (rstneg, clkm, lock, rstn, rstraw);
206
 
207
  clk_pad : clkpad generic map (tech => padtech) port map (clk_100mhz, lclk);
208
 
209
  clkgen0 : clkgen              -- clock generator
210
    generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, 0, 1, 0, 0, 0, BOARD_FREQ, 0)
211
    port map (lclk, gnd(0), clkm, open, open, open, open, cgi, cgo);
212
 
213
---------------------------------------------------------------------- 
214
---  AHB CONTROLLER --------------------------------------------------
215
----------------------------------------------------------------------
216
 
217
  ahb0 : ahbctrl                        -- AHB arbiter/multiplexer
218
    generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
219
                 rrobin  => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => 1,
220
                nahbm => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH,
221
                nahbs => 8)
222
    port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
223
 
224
----------------------------------------------------------------------
225
---  LEON3 processor and DSU -----------------------------------------
226
----------------------------------------------------------------------
227
 
228
  leon3gen : if CFG_LEON3 = 1 generate
229
    cpu : for i in 0 to CFG_NCPU-1 generate
230
      u0 : leon3s                         -- LEON3 processor
231
      generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
232
                   0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
233
                   CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
234
                   CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
235
                   CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
236
                   CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR,
237
                   CFG_NCPU-1)
238
      port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
239
                irqi(i), irqo(i), dbgi(i), dbgo(i));
240
    end generate;
241
-- pragma translate_off
242
    error_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error);
243
-- pragma translate_on
244
 
245
    dsugen : if CFG_DSU = 1 generate
246
      dsu0 : dsu3                         -- LEON3 Debug Support Unit
247
        generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
248
                   ncpu   => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
249
        port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
250
--    dsuen_pad  : inpad generic map (tech  => padtech) port map (dsuen, dsui.enable);
251
        dsui.enable <= '1';
252
      dsubre_pad : inpad generic map (tech  => padtech) port map (dsubre, dsui.break);
253
      dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active);
254
    end generate;
255
  end generate;
256
  nodcom : if CFG_DSU = 0 generate ahbso(2) <= ahbs_none; end generate;
257
 
258
  dcomgen : if CFG_AHB_UART = 1 generate
259
    dcom0 : ahbuart                     -- Debug UART
260
      generic map (hindex => CFG_NCPU, pindex => 4, paddr => 7)
261
      port map (rstn, clkm, dui, duo, apbi, apbo(4), ahbmi, ahbmo(CFG_NCPU));
262
      dui.rxd <= dsurx; dsutx <= duo.txd;
263
  end generate;
264
  nouah : if CFG_AHB_UART = 0 generate apbo(4) <= apb_none; end generate;
265
 
266
  ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
267
    ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART)
268
      port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART),
269
               open, open, open, open, open, open, open, gnd(0));
270
  end generate;
271
 
272
----------------------------------------------------------------------
273
---  Memory controllers ----------------------------------------------
274
----------------------------------------------------------------------
275
 
276
  mg2 : if CFG_MCTRL_LEON2 = 1 generate        -- LEON2 memory controller
277
    sr1 : mctrl generic map (hindex => 5, pindex => 0,
278
        paddr => 0, srbanks => 1, ramaddr => 16#600#, rammask => 16#F00#)
279
      port map (rstn, clkm, memi, memo, ahbsi, ahbso(5), apbi, apbo(0), wpo, open);
280
  end generate;
281
 
282
  memi.brdyn  <= '1'; memi.bexcn <= '1';
283
  memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "10";
284
 
285
  mg0 : if (CFG_MCTRL_LEON2 = 0) generate
286
    apbo(0) <= apb_none; ahbso(0) <= ahbs_none;
287
    roms_pad : outpad generic map (tech => padtech)
288
      port map (romsn, vcc(0));
289
  end generate;
290
 
291
  mgpads : if (CFG_MCTRL_LEON2 /= 0) generate
292
    addr_pad : outpadv generic map (width => 23, tech => padtech)
293
      port map (address, memo.address(24 downto 2));
294
    roms_pad : outpad generic map (tech => padtech)
295
      port map (romsn, memo.romsn(0));
296
    rams_pad : outpad generic map (tech => padtech)
297
      port map (ramsn, memo.ramsn(0));
298
    oen_pad : outpad generic map (tech => padtech)
299
      port map (oen, memo.oen);
300
    wri_pad : outpad generic map (tech => padtech)
301
      port map (writen, memo.writen);
302
    mben_pad : outpadv generic map (width => 4, tech => padtech)
303
      port map (mben, memo.mben);
304
 
305
-- pragma translate_off
306
    iosn_pad : outpad generic map (tech => padtech)
307
        port map (iosn, memo.iosn);
308
-- pragma translate_on
309
 
310
    bdr : for i in 0 to 3 generate
311
      data_pad : iopadv generic map (tech => padtech, width => 8)
312
        port map (data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
313
                  memo.bdrive(i), memi.data(31-i*8 downto 24-i*8));
314
    end generate;
315
  end generate;
316
 
317
----------------------------------------------------------------------
318
---  DDR memory controller -------------------------------------------
319
----------------------------------------------------------------------
320
 
321
  ddrsp0 : if (CFG_DDRSP /= 0) generate
322
 
323
    ddrc : ddrspa generic map ( fabtech => virtex2, memtech => memtech,
324
        hindex => 4, haddr => 16#400#, hmask => 16#F00#, ioaddr => 1,
325
        pwron => CFG_DDRSP_INIT, MHz => BOARD_FREQ/1000,
326
        clkmul => CFG_DDRSP_FREQ/5, clkdiv => 20, col => CFG_DDRSP_COL,
327
        Mbyte => CFG_DDRSP_SIZE, ahbfreq => CPU_FREQ/1000, ddrbits => 16)
328
     port map (
329
        rstneg, rstn, lclk, clkm, lock, clkml, clkml,  ahbsi, ahbso(4),
330
        ddr_clk, ddr_clkb, open, ddr_clk_fb,
331
        ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb,
332
        ddr_dm, ddr_dqs, ddr_adl, ddr_ba, ddr_dq);
333
 
334
        ddr_clk0 <= ddr_clk(0); ddr_clk0b <= ddr_clkb(0);
335
        ddr_cke0 <= ddr_cke(0); ddr_cs0b <= ddr_csb(0);
336
        ddr_ad <= ddr_adl(12 downto 0);
337
  end generate;
338
 
339
----------------------------------------------------------------------
340
---  APB Bridge and various periherals -------------------------------
341
----------------------------------------------------------------------
342
 
343
  apb0 : apbctrl                        -- AHB/APB bridge
344
    generic map (hindex => 1, haddr => CFG_APBADDR)
345
    port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo);
346
 
347
  ua1 : if CFG_UART1_ENABLE /= 0 generate
348
    uart1 : apbuart                     -- UART 1
349
      generic map (pindex   => 1, paddr => 1, pirq => 2, console => dbguart,
350
                   fifosize => CFG_UART1_FIFO)
351
      port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
352
    u1i.rxd <= rxd1; u1i.ctsn <= '0'; u1i.extclk <= '0'; txd1 <= u1o.txd;
353
  end generate;
354
  noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
355
 
356
  irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
357
    irqctrl0 : irqmp                    -- interrupt controller
358
      generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU)
359
      port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
360
  end generate;
361
  irq3 : if CFG_IRQ3_ENABLE = 0 generate
362
    x : for i in 0 to CFG_NCPU-1 generate
363
      irqi(i).irl <= "0000";
364
    end generate;
365
    apbo(2) <= apb_none;
366
  end generate;
367
 
368
  gpt : if CFG_GPT_ENABLE /= 0 generate
369
    timer0 : gptimer                    -- timer unit
370
      generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
371
                   sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
372
                   nbits  => CFG_GPT_TW)
373
      port map (rstn, clkm, apbi, apbo(3), gpti, open);
374
    gpti.dhalt <= dsuo.active; gpti.extclk <= '0';
375
  end generate;
376
  notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
377
 
378
  gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate     -- GR GPIO unit
379
    grgpio0: grgpio
380
      generic map( pindex => 11, paddr => 11, imask => CFG_GRGPIO_IMASK,
381
        nbits => 15 --CFG_GRGPIO_WIDTH
382
      )
383
      port map( rstn, clkm, apbi, apbo(11), gpioi, gpioo);
384
        gpioi.din(7 downto 0) <= dip;
385
        segm_lo <= gpioo.dout(6 downto 0);
386
        segm_hi <= gpioo.dout(14 downto 8);
387
   end generate;
388
 
389
  kbd : if CFG_KBD_ENABLE /= 0 generate
390
    ps20 : apbps2 generic map(pindex => 5, paddr => 5, pirq => 5)
391
      port map(rstn, clkm, apbi, apbo(5), kbdi, kbdo);
392
  end generate;
393
  nokbd : if CFG_KBD_ENABLE = 0 generate
394
        apbo(5) <= apb_none; kbdo <= ps2o_none;
395
  end generate;
396
  kbdclk_pad : iopad generic map (tech => padtech)
397
      port map (ps2_clk,kbdo.ps2_clk_o, kbdo.ps2_clk_oe, kbdi.ps2_clk_i);
398
  kbdata_pad : iopad generic map (tech => padtech)
399
        port map (ps2_data, kbdo.ps2_data_o, kbdo.ps2_data_oe, kbdi.ps2_data_i);
400
 
401
-----------------------------------------------------------------------
402
---  ETHERNET ---------------------------------------------------------
403
-----------------------------------------------------------------------
404
 
405
  eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
406
      e1 : grethm generic map(hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
407
        pindex => 15, paddr => 15, pirq => 12, memtech => memtech,
408
        mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
409
        nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
410
        macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL,
411
        ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL,
412
        phyrstadr => 3, giga => CFG_GRETH1G)
413
     port map( rst => rstn, clk => clkm, ahbmi => ahbmi,
414
       ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), apbi => apbi,
415
       apbo => apbo(15), ethi => ethi, etho => etho);
416
 
417
    emdio_pad : iopad generic map (tech => padtech)
418
      port map (emdio, etho.mdio_o, etho.mdio_oe, ethi.mdio_i);
419
    etxc_pad : inpad generic map (tech => padtech)
420
      port map (etx_clk, ethi.tx_clk);
421
    erxc_pad : inpad generic map (tech => padtech)
422
      port map (erx_clk, ethi.rx_clk);
423
    erxd_pad : inpadv generic map (tech => padtech, width => 4)
424
      port map (erxd, ethi.rxd(3 downto 0));
425
    erxdv_pad : inpad generic map (tech => padtech)
426
      port map (erx_dv, ethi.rx_dv);
427
    erxer_pad : inpad generic map (tech => padtech)
428
      port map (erx_er, ethi.rx_er);
429
    erxco_pad : inpad generic map (tech => padtech)
430
      port map (erx_col, ethi.rx_col);
431
    erxcr_pad : inpad generic map (tech => padtech)
432
      port map (erx_crs, ethi.rx_crs);
433
 
434
    etxd_pad : outpadv generic map (tech => padtech, width => 4)
435
      port map (etxd, etho.txd(3 downto 0));
436
    etxen_pad : outpad generic map (tech => padtech)
437
      port map (etx_en, etho.tx_en);
438
    etxer_pad : outpad generic map (tech => padtech)
439
      port map (etx_er, etho.tx_er);
440
    emdc_pad : outpad generic map (tech => padtech)
441
      port map (emdc, etho.mdc);
442
    erstn_pad : outpad generic map (tech => padtech)
443
      port map (erstn, rstn);
444
 
445
  end generate;
446
 
447
-----------------------------------------------------------------------
448
---  AHB DMA ----------------------------------------------------------
449
-----------------------------------------------------------------------
450
 
451
--  dma0 : ahbdma
452
--    generic map (hindex => CFG_NCPU+CFG_AHB_UART+CFG_GRETH,
453
--      pindex => 12, paddr => 12, dbuf => 32)
454
--    port map (rstn, clkm, apbi, apbo(12), ahbmi, 
455
--      ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_GRETH));
456
--
457
--  at0 : ahbtrace
458
--  generic map ( hindex  => 7, ioaddr => 16#200#, iomask => 16#E00#,
459
--    tech    => memtech, irq     => 0, kbytes  => 8) 
460
--  port map ( rstn, clkm, ahbmi, ahbsi, ahbso(7));
461
 
462
-----------------------------------------------------------------------
463
---  AHB ROM ----------------------------------------------------------
464
-----------------------------------------------------------------------
465
 
466
  bpromgen : if CFG_AHBROMEN /= 0 generate
467
    brom : entity work.ahbrom
468
      generic map (hindex => 6, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
469
      port map ( rstn, clkm, ahbsi, ahbso(6));
470
  end generate;
471
  nobpromgen : if CFG_AHBROMEN = 0 generate
472
     ahbso(6) <= ahbs_none;
473
  end generate;
474
 
475
-----------------------------------------------------------------------
476
---  AHB RAM ----------------------------------------------------------
477
-----------------------------------------------------------------------
478
 
479
  ahbramgen : if CFG_AHBRAMEN = 1 generate
480
    ahbram0 : ahbram generic map (hindex => 3, haddr => CFG_AHBRADDR,
481
                                  tech   => CFG_MEMTECH, kbytes => CFG_AHBRSZ)
482
      port map (rstn, clkm, ahbsi, ahbso(3));
483
  end generate;
484
  nram : if CFG_AHBRAMEN = 0 generate ahbso(3) <= ahbs_none; end generate;
485
 
486
-----------------------------------------------------------------------
487
---  Drive unused bus elements  ---------------------------------------
488
-----------------------------------------------------------------------
489
 
490
  nam1 : for i in (CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH+1) to NAHBMST-1 generate
491
    ahbmo(i) <= ahbm_none;
492
  end generate;
493
--  nap0 : for i in 9 to NAPBSLV-1-CFG_GRETH generate apbo(i) <= apb_none; end generate;
494
--  nah0 : for i in 8 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate;
495
 
496
-----------------------------------------------------------------------
497
---  Boot message  ----------------------------------------------------
498
-----------------------------------------------------------------------
499
 
500
-- pragma translate_off
501
  x : report_version
502
    generic map (
503
      msg1 => "LEON3 Demonstration design for  MEMEC V2MB1000 board",
504
      msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/100) & "." & tost((LIBVHDL_VERSION mod 10)/10)
505
      & "." & tost(LIBVHDL_VERSION mod 100),
506
      msg3 => "Target technology: " & tech_table(fabtech) & ",  memory library: " & tech_table(memtech),
507
      mdel => 1
508
      );
509
-- pragma translate_on
510
 
511
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.