OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-nuhorizons-3s1500/] [leon3mp.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
-----------------------------------------------------------------------------
2
--  LEON3 Demonstration design
3
--  Copyright (C) 2004 Jiri Gaisler, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
18
------------------------------------------------------------------------------
19
 
20
 
21
library ieee;
22
use ieee.std_logic_1164.all;
23
 
24
library grlib;
25
use grlib.amba.all;
26
use grlib.stdlib.all;
27
library techmap;
28
use techmap.gencomp.all;
29
 
30
library gaisler;
31
use gaisler.memctrl.all;
32
use gaisler.leon3.all;
33
use gaisler.uart.all;
34
use gaisler.misc.all;
35
use gaisler.can.all;
36
use gaisler.net.all;
37
use gaisler.jtag.all;
38
 
39
library esa;
40
use esa.memoryctrl.all;
41
 
42
use work.config.all;
43
 
44
entity leon3mp is
45
  generic (
46
    fabtech   : integer := CFG_FABTECH;
47
    memtech   : integer := CFG_MEMTECH;
48
    padtech   : integer := CFG_PADTECH;
49
    clktech   : integer := CFG_CLKTECH;
50
    disas     : integer := CFG_DISAS;   -- Enable disassembly to console
51
    dbguart   : integer := CFG_DUART;   -- Print UART on console
52
    pclow     : integer := CFG_PCLOW
53
  );
54
  port (
55
    pb_sw       : in  std_logic_vector (4 downto 1);    -- push buttons
56
    pll_clk     : in  std_ulogic;                       -- PLL clock
57
    led         : out std_logic_vector(8 downto 1);
58
    flash_a     : out std_logic_vector(20 downto 0);
59
    flash_d     : inout std_logic_vector(15 downto 0);
60
    sdram_a     : out std_logic_vector(11 downto 0);
61
    sdram_d     : inout std_logic_vector(31 downto 0);
62
    sdram_ba    : out std_logic_vector(3 downto 0);
63
    sdram_dqm   : out std_logic_vector(3 downto 0);
64
    sdram_clk   : inout std_ulogic;
65
    sdram_cke   : out std_ulogic;                       -- sdram clock enable
66
    sdram_csn   : out std_ulogic;                       -- sdram chip select
67
    sdram_wen   : out std_ulogic;                       -- sdram write enable
68
    sdram_rasn  : out std_ulogic;                       -- sdram ras
69
    sdram_casn  : out std_ulogic;                       -- sdram cas
70
 
71
    uart1_txd   : out std_ulogic;
72
    uart1_rxd   : in  std_ulogic;
73
    uart1_rts   : out std_ulogic;
74
    uart1_cts   : in  std_ulogic;
75
 
76
    uart2_txd   : out std_ulogic;
77
    uart2_rxd   : in  std_ulogic;
78
    uart2_rts   : out std_ulogic;
79
    uart2_cts   : in  std_ulogic;
80
 
81
    flash_oen   : out std_ulogic;
82
    flash_wen   : out std_ulogic;
83
    flash_cen   : out std_ulogic;
84
    flash_byte  : out std_ulogic;
85
    flash_ready : in  std_ulogic;
86
    flash_rpn   : out std_ulogic;
87
    flash_wpn   : out std_ulogic;
88
 
89
    phy_mii_data: inout std_logic;              -- ethernet PHY interface
90
    phy_tx_clk  : in std_ulogic;
91
    phy_rx_clk  : in std_ulogic;
92
    phy_rx_data : in std_logic_vector(3 downto 0);
93
    phy_dv      : in std_ulogic;
94
    phy_rx_er   : in std_ulogic;
95
    phy_col     : in std_ulogic;
96
    phy_crs     : in std_ulogic;
97
    phy_tx_data : out std_logic_vector(3 downto 0);
98
    phy_tx_en   : out std_ulogic;
99
    phy_mii_clk : out std_ulogic;
100
    phy_100     : in std_ulogic;                -- 100 Mbit indicator
101
    phy_rst_n   : out std_ulogic;
102
 
103
    gpio        : inout std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0);
104
--    lcd_data  : inout std_logic_vector(7 downto 0);
105
--    lcd_rs    : out std_ulogic;
106
--    lcd_rw    : out std_ulogic;
107
--    lcd_en    : out std_ulogic;
108
--    lcd_backl : out std_ulogic;
109
 
110
    can_txd     : out std_ulogic;
111
    can_rxd     : in  std_ulogic;
112
 
113
    smsc_addr   : out std_logic_vector(14 downto 0);
114
    smsc_data   : inout std_logic_vector(31 downto 0);
115
    smsc_nbe    : out std_logic_vector(3 downto 0);
116
    smsc_resetn : out std_ulogic;
117
    smsc_ardy   : in  std_ulogic;
118
--    smsc_intr         : in  std_ulogic;
119
    smsc_nldev  : in  std_ulogic;
120
    smsc_nrd    : out std_ulogic;
121
    smsc_nwr    : out std_ulogic;
122
    smsc_ncs    : out std_ulogic;
123
    smsc_aen    : out std_ulogic;
124
    smsc_lclk   : out std_ulogic;
125
    smsc_wnr    : out std_ulogic;
126
    smsc_rdyrtn : out std_ulogic;
127
    smsc_cycle  : out std_ulogic;
128
    smsc_nads   : out std_ulogic
129
        );
130
end;
131
 
132
architecture rtl of leon3mp is
133
 
134
signal vcc, gnd   : std_logic_vector(7 downto 0);
135
signal wpo   : wprot_out_type;
136
signal sdi   : sdctrl_in_type;
137
signal sdo  : sdram_out_type;
138
 
139
signal apbi  : apb_slv_in_type;
140
signal apbo  : apb_slv_out_vector := (others => apb_none);
141
signal ahbsi : ahb_slv_in_type;
142
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
143
signal ahbmi : ahb_mst_in_type;
144
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
145
 
146
signal clkm, rstn, rstraw, pciclk, sdclkl : std_ulogic;
147
signal cgi   : clkgen_in_type;
148
signal cgo   : clkgen_out_type;
149
signal u1i, u2i, dui : uart_in_type;
150
signal u1o, u2o, duo : uart_out_type;
151
 
152
signal irqi : irq_in_vector(0 to CFG_NCPU-1);
153
signal irqo : irq_out_vector(0 to CFG_NCPU-1);
154
 
155
signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
156
signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
157
 
158
signal dsui : dsu_in_type;
159
signal dsuo : dsu_out_type;
160
 
161
signal ethi, ethi1, ethi2 : eth_in_type;
162
signal etho, etho1, etho2 : eth_out_type;
163
 
164
signal gpti : gptimer_in_type;
165
signal gpioi : gpio_in_type;
166
signal gpioo : gpio_out_type;
167
 
168
signal can_lrx, can_ltx   : std_ulogic;
169
signal lclk, pci_lclk, sdfb : std_ulogic;
170
signal tck, tms, tdi, tdo : std_ulogic;
171
 
172
signal resetn : std_ulogic;
173
signal pbsw   : std_logic_vector(4 downto 1);
174
signal ledo   : std_logic_vector(8 downto 1);
175
 
176
signal memi  : memory_in_type;
177
signal memo  : memory_out_type;
178
 
179
  --for smc lan chip
180
signal s_eth_aen   : std_logic;
181
signal s_eth_readn : std_logic;
182
signal s_eth_writen: std_logic;
183
signal s_eth_nbe   : std_logic_vector(3 downto 0);
184
signal s_eth_din   : std_logic_vector(31 downto 0);
185
 
186
constant ahbmmax : integer := CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+ CFG_GRETH;
187
constant BOARD_FREQ : integer := 50000; -- board frequency in KHz
188
constant CPU_FREQ : integer := (BOARD_FREQ*CFG_CLKMUL)/CFG_CLKDIV; -- cpu frequency in KHz
189
begin
190
 
191
----------------------------------------------------------------------
192
---  Reset and Clock generation  -------------------------------------
193
----------------------------------------------------------------------
194
 
195
  vcc <= (others => '1'); gnd <= (others => '0');
196
 
197
  sdram_clk_pad : skew_outpad
198
    generic map (tech => padtech, slew => 1, strength => 24, skew => -60)
199
    port map (sdram_clk, sdclkl, rstn);
200
  cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
201
  resetn <= pbsw(4);
202
  ledo(2) <= not cgo.clklock;
203
  ledo(3) <= pbsw(3);
204
 
205
  clk_pad : clkpad generic map (tech => padtech) port map (pll_clk, lclk);
206
  clkgen0 : clkgen              -- clock generator
207
    generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_MCTRL_SDEN,
208
        CFG_CLK_NOFB, 0, 0, 0, BOARD_FREQ)
209
    port map (lclk, pci_lclk, clkm, open, open, sdclkl, pciclk, cgi, cgo);
210
 
211
  rst0 : rstgen                 -- reset generator
212
  port map (resetn, clkm, cgo.clklock, rstn, rstraw);
213
 
214
----------------------------------------------------------------------
215
---  AHB CONTROLLER --------------------------------------------------
216
----------------------------------------------------------------------
217
 
218
  ahb0 : ahbctrl                -- AHB arbiter/multiplexer
219
  generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
220
        rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO,
221
        nahbm => ahbmmax, nahbs => 8)
222
  port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
223
 
224
----------------------------------------------------------------------
225
---  LEON3 processor and DSU -----------------------------------------
226
----------------------------------------------------------------------
227
 
228
  l3 : if CFG_LEON3 = 1 generate
229
    cpu : for i in 0 to CFG_NCPU-1 generate
230
      u0 : leon3s                       -- LEON3 processor
231
      generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
232
        0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
233
        CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
234
        CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
235
          CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
236
          CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1)
237
      port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
238
                irqi(i), irqo(i), dbgi(i), dbgo(i));
239
    end generate;
240
    ledo(8) <= dbgo(0).error;
241
 
242
    dsugen : if CFG_DSU = 1 generate
243
      dsu0 : dsu3                       -- LEON3 Debug Support Unit
244
      generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
245
         ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
246
      port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
247
      dsui.enable <= '1'; dsui.break <= pbsw(1); ledo(1) <= not dsuo.active;
248
    end generate;
249
  end generate;
250
 
251
  nodcom : if CFG_DSU = 0 generate
252
    ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0';
253
  end generate;
254
 
255
  dcomgen : if CFG_AHB_UART = 1 generate
256
    dcom0: ahbuart              -- Debug UART
257
    generic map (hindex => CFG_NCPU, pindex => 7, paddr => 7)
258
    port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(CFG_NCPU));
259
    dui.rxd <= u2i.rxd; u2o.txd <= duo.txd; u2o.rtsn <= gnd(0);
260
  end generate;
261
  nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate;
262
 
263
  ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
264
    ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART)
265
      port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART),
266
               open, open, open, open, open, open, open, gnd(0));
267
  end generate;
268
 
269
----------------------------------------------------------------------
270
---  PROM/SDRAM Memory controller ------------------------------------
271
----------------------------------------------------------------------
272
 
273
  memi.brdyn <= '1'; memi.bexcn <= '1';
274
  memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "00";
275
 
276
  mg2 : if CFG_MCTRL_LEON2 = 1 generate         -- LEON2 memory controller
277
    sr1 : entity work.smc_mctrl generic map (hindex => 0, pindex => 0, paddr => 0,
278
        srbanks => 4+CFG_MCTRL_5CS, sden => CFG_MCTRL_SDEN,
279
        ram8 => CFG_MCTRL_RAM8BIT, ram16 => CFG_MCTRL_RAM16BIT,
280
        invclk => CFG_MCTRL_INVCLK, sepbus => CFG_MCTRL_SEPBUS,
281
        sdbits => 32 + 32*CFG_MCTRL_SD64)
282
    port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0),
283
        wpo, sdo, s_eth_aen, s_eth_readn, s_eth_writen, s_eth_nbe, s_eth_din);
284
 
285
    addr_pad : outpadv generic map (width => 21, tech => padtech)
286
        port map (flash_a(20 downto 0), memo.address(21 downto 1));
287
    roms_pad : outpad generic map (tech => padtech)
288
        port map (flash_cen, memo.romsn(0));
289
    oen_pad  : outpad generic map (tech => padtech)
290
        port map (flash_oen, memo.oen);
291
    wri_pad  : outpad generic map (tech => padtech)
292
        port map (flash_wen, memo.writen);
293
    data_pad : iopadv generic map (tech => padtech, width => 8)
294
      port map (flash_d(7 downto 0), memo.data(31 downto 24),
295
        memo.bdrive(0), memi.data(31 downto 24));
296
    data15_pad : iopad generic map (tech => padtech)
297
      port map (flash_d(15), memo.address(0), gnd(0), open);
298
 
299
 
300
      sa_pad : outpadv generic map (width => 12, tech => padtech)
301
           port map (sdram_a, memo.sa(11 downto 0));
302
      sba1_pad : outpadv generic map (width => 2, tech => padtech)
303
           port map (sdram_ba(1 downto 0), memo.sa(14 downto 13));
304
      sba2_pad : outpadv generic map (width => 2, tech => padtech)
305
           port map (sdram_ba(3 downto 2), memo.sa(14 downto 13));
306
 
307
      bdr : for i in 0 to 3 generate
308
          sd_pad : iopadv generic map (tech => padtech, width => 8)
309
          port map (sdram_d(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
310
                memo.bdrive(i), memi.sd(31-i*8 downto 24-i*8));
311
      end generate;
312
 
313
      sdcke_pad : outpad generic map (tech => padtech)
314
           port map (sdram_cke, sdo.sdcke(0));
315
      sdwen_pad : outpad generic map (tech => padtech)
316
           port map (sdram_wen, sdo.sdwen);
317
      sdcsn_pad : outpad generic map (tech => padtech)
318
           port map (sdram_csn, sdo.sdcsn(0));
319
      sdras_pad : outpad generic map (tech => padtech)
320
           port map (sdram_rasn, sdo.rasn);
321
      sdcas_pad : outpad generic map (tech => padtech)
322
           port map (sdram_casn, sdo.casn);
323
      sddqm_pad : outpadv generic map (width => 4, tech => padtech)
324
           port map (sdram_dqm, sdo.dqm(3 downto 0));
325
 
326
  end generate;
327
 
328
  nosd0 : if (CFG_MCTRL_SDEN = 0) generate               -- no SDRAM controller
329
      sdcke_pad : outpad generic map (tech => padtech)
330
           port map (sdram_cke, gnd(0));
331
      sdcsn_pad : outpad generic map (tech => padtech)
332
           port map (sdram_csn, vcc(0));
333
  end generate;
334
 
335
----------------------------------------------------------------------
336
---  APB Bridge and various periherals -------------------------------
337
----------------------------------------------------------------------
338
 
339
  bpromgen : if CFG_AHBROMEN /= 0 generate
340
    brom : entity work.ahbrom
341
      generic map (hindex => 4, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
342
      port map ( rstn, clkm, ahbsi, ahbso(4));
343
  end generate;
344
  nobpromgen : if CFG_AHBROMEN = 0 generate
345
     ahbso(4) <= ahbs_none;
346
  end generate;
347
 
348
----------------------------------------------------------------------
349
---  APB Bridge and various periherals -------------------------------
350
----------------------------------------------------------------------
351
 
352
  apb0 : apbctrl                                -- AHB/APB bridge
353
  generic map (hindex => 1, haddr => CFG_APBADDR)
354
  port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo );
355
 
356
  ua1 : if CFG_UART1_ENABLE /= 0 generate
357
    uart1 : apbuart                     -- UART 1
358
    generic map (pindex => 1, paddr => 1,  pirq => 2, console => dbguart,
359
        fifosize => CFG_UART1_FIFO)
360
    port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
361
    u1i.extclk <= '0';
362
  end generate;
363
  noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
364
  ua1rx_pad : inpad generic map (tech => padtech) port map (uart1_rxd, u1i.rxd);
365
  ua1tx_pad : outpad generic map (tech => padtech) port map (uart1_txd, u1o.txd);
366
  ua1cts_pad : inpad generic map (tech => padtech) port map (uart1_cts, u1i.ctsn);
367
  ua1rts_pad : outpad generic map (tech => padtech) port map (uart1_rts, u1o.rtsn);
368
 
369
  ua2 : if (CFG_UART2_ENABLE /= 0) and (CFG_AHB_UART = 0) generate
370
    uart2 : apbuart                     -- UART 2
371
    generic map (pindex => 9, paddr => 9,  pirq => 3, fifosize => CFG_UART2_FIFO)
372
    port map (rstn, clkm, apbi, apbo(9), u2i, u2o);
373
    u2i.extclk <= '0';
374
  end generate;
375
  noua1 : if CFG_UART2_ENABLE = 0 generate apbo(9) <= apb_none; end generate;
376
  ua2rx_pad : inpad generic map (tech => padtech) port map (uart2_rxd, u2i.rxd);
377
  ua2tx_pad : outpad generic map (tech => padtech) port map (uart2_txd, u2o.txd);
378
  ua2cts_pad : inpad generic map (tech => padtech) port map (uart2_cts, u2i.ctsn);
379
  ua2rts_pad : outpad generic map (tech => padtech) port map (uart2_rts, u2o.rtsn);
380
 
381
  irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
382
    irqctrl0 : irqmp                    -- interrupt controller
383
    generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU)
384
    port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
385
  end generate;
386
  irq3 : if CFG_IRQ3_ENABLE = 0 generate
387
    x : for i in 0 to CFG_NCPU-1 generate
388
      irqi(i).irl <= "0000";
389
    end generate;
390
    apbo(2) <= apb_none;
391
  end generate;
392
 
393
  gpt : if CFG_GPT_ENABLE /= 0 generate
394
    timer0 : gptimer                    -- timer unit
395
    generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
396
        sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
397
        nbits => CFG_GPT_TW, wdog => CFG_GPT_WDOG)
398
    port map (rstn, clkm, apbi, apbo(3), gpti, open);
399
    gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
400
  end generate;
401
  notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
402
 
403
  gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate     -- GPIO unit
404
    grgpio0: grgpio
405
    generic map(pindex => 5, paddr => 5, imask => CFG_GRGPIO_IMASK, nbits => CFG_GRGPIO_WIDTH)
406
    port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(5),
407
    gpioi => gpioi, gpioo => gpioo);
408
    pio_pads : for i in 0 to CFG_GRGPIO_WIDTH-1 generate
409
        pio_pad : iopad generic map (tech => padtech)
410
            port map (gpio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i));
411
    end generate;
412
  end generate;
413
 
414
-----------------------------------------------------------------------
415
---  ETHERNET ---------------------------------------------------------
416
-----------------------------------------------------------------------
417
 
418
  eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
419
      e1 : greth generic map(hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
420
        pindex => 15, paddr => 15, pirq => 12, memtech => memtech,
421
        mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
422
        nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
423
        macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL,
424
        ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL)
425
     port map( rst => rstn, clk => clkm, ahbmi => ahbmi,
426
       ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), apbi => apbi,
427
       apbo => apbo(15), ethi => ethi, etho => etho);
428
  end generate;
429
 
430
    ethpads : if CFG_GRETH = 0 generate -- no eth 
431
      etho <= ('0', "00000000", '0', '0', '0', '0', '1');
432
    end generate;
433
 
434
    emdio_pad : iopad generic map (tech => padtech)
435
      port map (phy_mii_data, etho.mdio_o, etho.mdio_oe, ethi.mdio_i);
436
    etxc_pad : clkpad generic map (tech => padtech, arch => 0)
437
        port map (phy_tx_clk, ethi.tx_clk);
438
    erxc_pad : clkpad generic map (tech => padtech, arch => 0)
439
        port map (phy_rx_clk, ethi.rx_clk);
440
    erxd_pad : inpadv generic map (tech => padtech, width => 4)
441
        port map (phy_rx_data, ethi.rxd(3 downto 0));
442
    erxdv_pad : inpad generic map (tech => padtech)
443
        port map (phy_dv, ethi.rx_dv);
444
    erxer_pad : inpad generic map (tech => padtech)
445
        port map (phy_rx_er, ethi.rx_er);
446
    erxco_pad : inpad generic map (tech => padtech)
447
        port map (phy_col, ethi.rx_col);
448
    erxcr_pad : inpad generic map (tech => padtech)
449
        port map (phy_crs, ethi.rx_crs);
450
 
451
    etxd_pad : outpadv generic map (tech => padtech, width => 4)
452
        port map (phy_tx_data, etho.txd(3 downto 0));
453
    etxen_pad : outpad generic map (tech => padtech)
454
        port map ( phy_tx_en, etho.tx_en);
455
    emdc_pad : outpad generic map (tech => padtech)
456
        port map (phy_mii_clk, etho.mdc);
457
 
458
    ereset_pad : outpad generic map (tech => padtech)
459
        port map (phy_rst_n, rstn);
460
 
461
-----------------------------------------------------------------------
462
---  CAN --------------------------------------------------------------
463
-----------------------------------------------------------------------
464
   can0 : if CFG_CAN = 1 generate
465
     can0 : can_oc generic map (slvndx => 6, ioaddr => CFG_CANIO,
466
        iomask => 16#FF0#, irq => CFG_CANIRQ, memtech => memtech)
467
      port map (rstn, clkm, ahbsi, ahbso(6), can_lrx, can_ltx );
468
   end generate;
469
   ncan : if CFG_CAN = 0 generate ahbso(6) <= ahbs_none; end generate;
470
 
471
   can_loopback : if CFG_CANLOOP = 1 generate
472
     can_lrx <= can_ltx;
473
   end generate;
474
 
475
   can_pads : if CFG_CANLOOP = 0 generate
476
      can_tx_pad : outpad generic map (tech => padtech)
477
        port map (can_txd, can_ltx);
478
      can_rx_pad : inpad generic map (tech => padtech)
479
        port map (can_rxd, can_lrx);
480
    end generate;
481
 
482
-----------------------------------------------------------------------
483
---  AHB RAM ----------------------------------------------------------
484
-----------------------------------------------------------------------
485
 
486
  ocram : if CFG_AHBRAMEN = 1 generate
487
    ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR,
488
        tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ)
489
    port map ( rstn, clkm, ahbsi, ahbso(7));
490
  end generate;
491
  nram : if CFG_AHBRAMEN = 0 generate ahbso(7) <= ahbs_none; end generate;
492
 
493
-----------------------------------------------------------------------
494
---  I/O interface  ---------------------------------------------------
495
-----------------------------------------------------------------------
496
 
497
  pb_sw_pad : inpadv generic map (width => 4, tech => padtech)
498
    port map (pb_sw, pbsw);
499
  led_pad : outpadv generic map (width => 8, tech => padtech)
500
    port map (led, ledo);
501
 
502
  byte_pad  : outpad generic map (tech => padtech) port map (flash_byte, gnd(0));
503
  rpn_pad   : outpad generic map (tech => padtech) port map (flash_rpn, rstn);
504
  wpn_pad   : outpad generic map (tech => padtech) port map (flash_wpn, vcc(0));
505
  ready_pad : inpad generic map (tech => padtech) port map (flash_ready, open);
506
 
507
  smsc_data_pads : for i in 0 to 3 generate
508
      data_pad : iopadv generic map (tech => padtech, width => 8)
509
        port map (smsc_data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
510
                  memo.bdrive(i), s_eth_din(31-i*8 downto 24-i*8));
511
  end generate;
512
 
513
  smsc_addr_pad : outpadv generic map (tech => padtech, width => 15)
514
    port map (smsc_addr, memo.address(15 downto 1));
515
  smsc_nbe_pad : outpadv generic map (tech => padtech, width => 4)
516
    port map (smsc_nbe, s_eth_nbe);
517
  smsc_reset_pad : outpad generic map (tech => padtech)
518
    port map (smsc_resetn, rstn);
519
  smsc_nrd_pad : outpad generic map (tech => padtech)
520
    port map (smsc_nrd, s_eth_readn);
521
  smsc_nwr_pad : outpad generic map (tech => padtech)
522
    port map (smsc_nwr, s_eth_writen);
523
  smsc_ncs_pad : outpad generic map (tech => padtech)
524
    port map (smsc_ncs, memo.iosn);
525
  smsc_aen_pad : outpad generic map (tech => padtech)
526
    port map (smsc_aen, s_eth_aen);
527
  smsc_lclk_pad : outpad generic map (tech => padtech)
528
    port map (smsc_lclk, vcc(0));
529
  smsc_wnr_pad : outpad generic map (tech => padtech)
530
    port map (smsc_wnr, vcc(0));
531
  smsc_rdyrtn_pad : outpad generic map (tech => padtech)
532
    port map (smsc_rdyrtn, vcc(0));
533
  smsc_cycle_pad : outpad generic map (tech => padtech)
534
    port map (smsc_cycle, vcc(0));
535
  smsc_nads_pad : outpad generic map (tech => padtech)
536
    port map (smsc_nads, gnd(0));
537
 
538
--  lcd_data_pad : iopadv generic map (width => 8, tech => padtech) 
539
--    port map (lcd_data, nuo.lcd_data, nuo.lcd_ben, nui.lcd_data);
540
--  lcd_rs_pad : outpad generic map (tech => padtech) 
541
--    port map (lcd_rs, nuo.lcd_rs);
542
--  lcd_rw_pad : outpad generic map (tech => padtech) 
543
--    port map (lcd_rw, nuo.lcd_rw );
544
--  lcd_en_pad : outpad generic map (tech => padtech)
545
--    port map (lcd_en, nuo.lcd_en);
546
--  lcd_backl_pad : outpad generic map (tech => padtech) 
547
--    port map (lcd_backl, nuo.lcd_backl);
548
 
549
-----------------------------------------------------------------------
550
---  Drive unused bus elements  ---------------------------------------
551
-----------------------------------------------------------------------
552
 
553
--  nam1 : for i in (CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG) to NAHBMST-1 generate
554
--    ahbmo(i) <= ahbm_none;
555
--  end generate;
556
--  nap0 : for i in 11 to NAPBSLV-1-CFG_GRETH generate apbo(i) <= apb_none; end generate;
557
  apbo(6) <= apb_none;
558
 
559
-----------------------------------------------------------------------
560
---  Boot message  ----------------------------------------------------
561
-----------------------------------------------------------------------
562
 
563
-- pragma translate_off
564
  x : report_version
565
  generic map (
566
   msg1 => "LEON3 Demonstration design for Nuhorizon SP3 board",
567
   msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/1000) & "." & tost((LIBVHDL_VERSION mod 1000)/100)
568
        & "." & tost(LIBVHDL_VERSION mod 100) & ", build " & tost(LIBVHDL_BUILD),
569
   msg3 => "Target technology: " & tech_table(fabtech) & ",  memory library: " & tech_table(memtech),
570
   mdel => 1
571
  );
572
-- pragma translate_on
573
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.