OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-xilinx-ml403/] [leon3mp.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
-----------------------------------------------------------------------------
2
--  LEON3 Demonstration design
3
--  Copyright (C) 2004 Jiri Gaisler, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
18
------------------------------------------------------------------------------
19
 
20
 
21
library ieee;
22
use ieee.std_logic_1164.all;
23
library grlib, techmap;
24
use grlib.amba.all;
25
use grlib.devices.all;
26
use grlib.stdlib.all;
27
use techmap.gencomp.all;
28
use techmap.allclkgen.all;
29
library gaisler;
30
use gaisler.memctrl.all;
31
use gaisler.leon3.all;
32
use gaisler.uart.all;
33
use gaisler.misc.all;
34
use gaisler.net.all;
35
use gaisler.jtag.all;
36
use gaisler.spacewire.all;
37
 
38
library esa;
39
use esa.memoryctrl.all;
40
use work.config.all;
41
 
42
entity leon3mp is
43
  generic (
44
    fabtech   : integer := CFG_FABTECH;
45
    memtech   : integer := CFG_MEMTECH;
46
    padtech   : integer := CFG_PADTECH;
47
    ncpu      : integer := CFG_NCPU;
48
    disas     : integer := CFG_DISAS;   -- Enable disassembly to console
49
    dbguart   : integer := CFG_DUART;   -- Print UART on console
50
    pclow     : integer := CFG_PCLOW
51
  );
52
  port (
53
    sys_rst_in  : in  std_ulogic;
54
    sys_clk     : in  std_ulogic;       -- 100 MHz main clock
55
    opb_error   : out std_logic;        -- DSU active
56
    sram_flash_addr : out std_logic_vector(20 downto 0);
57
    sram_flash_data : inout std_logic_vector(31 downto 0);
58
    sram_cen    : out std_logic;
59
    sram_bw     : out std_logic_vector (0 to 3);
60
    sram_flash_oe_n : out std_ulogic;
61
    sram_flash_we_n     : out std_ulogic;
62
    flash_ce    : out std_logic;
63
    sram_clk    : out std_ulogic;
64
    sram_clk_fb : in  std_ulogic;
65
    sram_adv_ld_n : out std_ulogic;
66
--pragma translate_off
67
    iosn    : out std_ulogic;
68
--pragma translate_on
69
 
70
    ddr_clk     : out std_logic;
71
    ddr_clkb    : out std_logic;
72
    ddr_clk_fb  : in std_logic;
73
    ddr_cke     : out std_logic;
74
    ddr_csb     : out std_logic;
75
    ddr_web     : out std_ulogic;                       -- ddr write enable
76
    ddr_rasb    : out std_ulogic;                       -- ddr ras
77
    ddr_casb    : out std_ulogic;                       -- ddr cas
78
    ddr_dm      : out std_logic_vector (3 downto 0);    -- ddr dm
79
    ddr_dqs     : inout std_logic_vector (3 downto 0);    -- ddr dqs
80
    ddr_ad      : out std_logic_vector (12 downto 0);   -- ddr address
81
    ddr_ba      : out std_logic_vector (1 downto 0);    -- ddr bank address
82
    ddr_dq      : inout std_logic_vector (31 downto 0); -- ddr data
83
 
84
    txd1        : out std_ulogic;                       -- UART1 tx data
85
    rxd1        : in  std_ulogic;                       -- UART1 rx data
86
 
87
    gpio        : inout std_logic_vector(13 downto 0);   -- I/O port
88
 
89
    phy_gtx_clk : out std_logic;
90
    phy_mii_data: inout std_logic;              -- ethernet PHY interface
91
    phy_tx_clk  : in std_ulogic;
92
    phy_rx_clk  : in std_ulogic;
93
    phy_rx_data : in std_logic_vector(7 downto 0);
94
    phy_dv      : in std_ulogic;
95
    phy_rx_er   : in std_ulogic;
96
    phy_col     : in std_ulogic;
97
    phy_crs     : in std_ulogic;
98
    phy_tx_data : out std_logic_vector(7 downto 0);
99
    phy_tx_en   : out std_ulogic;
100
    phy_tx_er   : out std_ulogic;
101
    phy_mii_clk : out std_ulogic;
102
    phy_rst_n   : out std_ulogic;
103
 
104
    ps2_keyb_clk   : inout std_logic;
105
    ps2_keyb_data  : inout std_logic;
106
    ps2_mouse_clk  : inout std_logic;
107
    ps2_mouse_data : inout std_logic;
108
 
109
    tft_lcd_clk : out std_ulogic;
110
    vid_hsync   : out std_ulogic;
111
    vid_vsync   : out std_ulogic;
112
    vid_r       : out std_logic_vector(7 downto 3);
113
    vid_g       : out std_logic_vector(7 downto 3);
114
    vid_b       : out std_logic_vector(7 downto 3);
115
 
116
    usb_csn : out std_logic;
117
 
118
    iic_scl : inout std_ulogic;
119
    iic_sda : inout std_ulogic
120
   );
121
end;
122
 
123
architecture rtl of leon3mp is
124
 
125
constant blength : integer := 12;
126
constant fifodepth : integer := 8;
127
constant maxahbm : integer := NCPU+CFG_AHB_UART
128
        +CFG_GRETH+CFG_AHB_JTAG+CFG_SVGA_ENABLE;
129
 
130
signal vcc, gnd   : std_logic_vector(4 downto 0);
131
signal memi  : memory_in_type;
132
signal memo  : memory_out_type;
133
signal wpo   : wprot_out_type;
134
signal sdi   : sdctrl_in_type;
135
signal sdo   : sdctrl_out_type;
136
signal sdo2, sdo3 : sdctrl_out_type;
137
 
138
signal apbi  : apb_slv_in_type;
139
signal apbo  : apb_slv_out_vector := (others => apb_none);
140
signal ahbsi : ahb_slv_in_type;
141
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
142
signal ahbmi : ahb_mst_in_type;
143
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
144
 
145
signal clkm, rstn, rstraw, srclkl : std_ulogic;
146
signal clkm_90, clkm_180, clkm_270 : std_ulogic;
147
 
148
signal cgi, cgi2   : clkgen_in_type;
149
signal cgo, cgo2   : clkgen_out_type;
150
signal u1i, u2i, dui : uart_in_type;
151
signal u1o, u2o, duo : uart_out_type;
152
 
153
signal irqi : irq_in_vector(0 to NCPU-1);
154
signal irqo : irq_out_vector(0 to NCPU-1);
155
 
156
signal dbgi : l3_debug_in_vector(0 to NCPU-1);
157
signal dbgo : l3_debug_out_vector(0 to NCPU-1);
158
 
159
signal dsui : dsu_in_type;
160
signal dsuo : dsu_out_type;
161
 
162
signal ethi, ethi1, ethi2 : eth_in_type;
163
signal etho, etho1, etho2 : eth_out_type;
164
 
165
signal gpti : gptimer_in_type;
166
 
167
signal gpioi : gpio_in_type;
168
signal gpioo : gpio_out_type;
169
 
170
signal clklock, lock, lclk, clkml, rst, ndsuact : std_ulogic;
171
signal tck, tckn, tms, tdi, tdo : std_ulogic;
172
signal ddrclk, ddrrst : std_ulogic;
173
 
174
 
175
signal ethclk, egtx_clk_fb : std_ulogic;
176
signal egtx_clk, legtx_clk, l2egtx_clk : std_ulogic;
177
 
178
signal kbdi  : ps2_in_type;
179
signal kbdo  : ps2_out_type;
180
signal moui  : ps2_in_type;
181
signal mouo  : ps2_out_type;
182
signal vgao  : apbvga_out_type;
183
signal clk_sel : std_logic_vector(1 downto 0);
184
signal clkval : std_logic_vector(1 downto 0);
185
signal clkvga, clk1x, video_clk, dac_clk : std_ulogic;
186
 
187
signal i2ci : i2c_in_type;
188
signal i2co : i2c_out_type;
189
 
190
constant BOARD_FREQ : integer := 100000;   -- input frequency in KHz
191
constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV;  -- cpu frequency in KHz
192
constant IOAEN : integer := CFG_DDRSP;
193
 
194
signal stati : ahbstat_in_type;
195
 
196
signal ddsi  : ddrmem_in_type;
197
signal ddso  : ddrmem_out_type;
198
 
199
signal ddrclkfb, ssrclkfb, ddr_clkl, ddr_clk90l, ddr_clknl, ddr_clk270l : std_ulogic;
200
signal ddr_clkv         : std_logic_vector(2 downto 0);
201
signal ddr_clkbv        : std_logic_vector(2 downto 0);
202
signal ddr_ckev         : std_logic_vector(1 downto 0);
203
signal ddr_csbv         : std_logic_vector(1 downto 0);
204
signal ddr_adl          : std_logic_vector (13 downto 0);
205
 
206
attribute syn_keep : boolean;
207
attribute syn_preserve : boolean;
208
attribute syn_keep of clkml : signal is true;
209
attribute syn_preserve of clkml : signal is true;
210
attribute syn_keep of egtx_clk : signal is true;
211
attribute syn_preserve of egtx_clk : signal is true;
212
attribute keep : boolean;
213
attribute keep of lock : signal is true;
214
attribute keep of clkml : signal is true;
215
attribute keep of clkm : signal is true;
216
attribute keep of egtx_clk : signal is true;
217
 
218
signal romsn   : std_ulogic;
219
constant SPW_LOOP_BACK : integer := 0;
220
 
221
begin
222
 
223
  usb_csn <= '1';
224
----------------------------------------------------------------------
225
---  Reset and Clock generation  -------------------------------------
226
----------------------------------------------------------------------
227
 
228
  vcc <= (others => '1'); gnd <= (others => '0');
229
  cgi.pllctrl <= "00"; cgi.pllrst <= rstraw; cgi.pllref <= ssrclkfb;
230
 
231
  ssrref_pad : clkpad generic map (tech => padtech)
232
        port map (sram_clk_fb, ssrclkfb);
233
  clk_pad : clkpad generic map (tech => padtech, arch => 2)
234
        port map (sys_clk, lclk);
235
 
236
  srclk_pad : outpad generic map (tech => padtech, slew => 1, strength => 24)
237
        port map (sram_clk, srclkl);
238
 
239
  clkgen0 : clkgen              -- system clock generator
240
    generic map (CFG_FABTECH, CFG_CLKMUL, CFG_CLKDIV, 1, 0, 0, 0, 0, BOARD_FREQ, 0)
241
    port map (lclk, gnd(0), clkm, open, open, srclkl, open, cgi, cgo, open, clk1x);
242
 
243
  g1clk : if CFG_GRETH1G /= 0 generate
244
    clkgen1 : clkgen            -- Ethernet 1G PHY clock generator
245
      generic map (CFG_FABTECH, 5, 4, 0, 0, 0, 0, 0, BOARD_FREQ, 0)
246
      port map (lclk, gnd(0), egtx_clk, open, open, open, open, cgi2, cgo2);
247
    cgi2.pllctrl <= "00"; cgi2.pllrst <= rstraw; --cgi2.pllref <= egtx_clk_fb;
248
    egtx_clk_pad : outpad generic map (tech => padtech)
249
      port map (phy_gtx_clk, egtx_clk);
250
    clklock <= lock and cgo2.clklock;
251
  end generate;
252
  nog1clk : if CFG_GRETH1G = 0 generate
253
    clklock <= lock;
254
  end generate;
255
 
256
  resetn_pad : inpad generic map (tech => padtech) port map (sys_rst_in, rst);
257
  rst0 : rstgen                 -- reset generator
258
  port map (rst, clkm, clklock, rstn, rstraw);
259
 
260
----------------------------------------------------------------------
261
---  AHB CONTROLLER --------------------------------------------------
262
----------------------------------------------------------------------
263
 
264
  ahb0 : ahbctrl                -- AHB arbiter/multiplexer
265
  generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
266
        rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, devid => XILINX_ML401,
267
        ioen => IOAEN, nahbm => maxahbm, nahbs => 8)
268
  port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
269
 
270
----------------------------------------------------------------------
271
---  LEON3 processor and DSU -----------------------------------------
272
----------------------------------------------------------------------
273
 
274
  l3 : if CFG_LEON3 = 1 generate
275
    cpu : for i in 0 to NCPU-1 generate
276
      u0 : leon3s                       -- LEON3 processor      
277
      generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
278
        0, CFG_MAC, pclow, 0, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
279
        CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
280
        CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
281
        CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
282
        CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, NCPU-1)
283
      port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
284
                irqi(i), irqo(i), dbgi(i), dbgo(i));
285
    end generate;
286
 
287
    dsugen : if CFG_DSU = 1 generate
288
      dsu0 : dsu3                       -- LEON3 Debug Support Unit
289
      generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
290
         ncpu => NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
291
      port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
292
--    dsuen_pad : inpad generic map (tech => padtech) port map (dsuen, dsui.enable); 
293
      dsui.enable <= '1';
294
--    dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break); 
295
       dsui.break <= gpioo.val(11); --  South Button
296
--    dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, ndsuact);
297
      dsuact_pad : outpad generic map (tech => padtech) port map (opb_error, ndsuact);
298
      ndsuact <= not dsuo.active;
299
    end generate;
300
  end generate;
301
 
302
  nodsu : if CFG_DSU = 0 generate
303
    dsuo.tstop <= '0'; dsuo.active <= '0';
304
  end generate;
305
 
306
  dcomgen : if CFG_AHB_UART = 1 generate
307
    dcom0: ahbuart              -- Debug UART
308
    generic map (hindex => NCPU, pindex => 7, paddr => 7)
309
    port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(NCPU));
310
--    dsurx_pad : inpad generic map (tech => padtech) port map (rxd1, dui.rxd); 
311
--    dsutx_pad : outpad generic map (tech => padtech) port map (txd1, duo.txd);
312
    dui.rxd <= rxd1 when gpioo.val(21) = '1' else '1';
313
  end generate;
314
 
315
  txd1 <= duo.txd when  gpioo.val(21) = '1' else u1o.txd;
316
 
317
  ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
318
    ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => NCPU+CFG_AHB_UART)
319
      port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(NCPU+CFG_AHB_UART),
320
               open, open, open, open, open, open, open, gnd(0));
321
  end generate;
322
 
323
----------------------------------------------------------------------
324
---  Memory controllers ----------------------------------------------
325
----------------------------------------------------------------------
326
 
327
  memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "10";
328
  memi.brdyn <= '1'; memi.bexcn <= '1';
329
 
330
  ssr0 : if CFG_SSCTRL = 1 generate
331
    ssrctrl0 : ssrctrl generic map (hindex => 3, pindex => 0, ramaddr => 16#600#)
332
    port map (rstn, clkm, ahbsi, ahbso(3), apbi, apbo(0), memi, memo);
333
  end generate;
334
 
335
  mctrl0 : if CFG_MCTRL_LEON2 = 1 generate
336
    mctrl0 : mctrl generic map (hindex => 3, pindex => 0,
337
        ramaddr => 16#C00#, rammask => 16#FF0#,
338
        paddr => 0, srbanks => 1, ram8 => CFG_MCTRL_RAM8BIT,
339
        ram16 => CFG_MCTRL_RAM16BIT, sden => CFG_MCTRL_SDEN,
340
        invclk => CFG_MCTRL_INVCLK, sepbus => CFG_MCTRL_SEPBUS)
341
    port map (rstn, clkm, memi, memo, ahbsi, ahbso(3), apbi, apbo(0), wpo, open);
342
  end generate;
343
 
344
  romsn <= not memo.romsn(0);
345
 
346
  sram_adv_ld_n_pad : outpad generic map (tech => padtech)
347
        port map (sram_adv_ld_n, gnd(0));
348
  addr_pad : outpadv generic map (width => 21, tech => padtech)
349
        port map (sram_flash_addr, memo.address(22 downto 2));
350
  rams_pad : outpad generic map ( tech => padtech)
351
        port map (sram_cen, memo.ramsn(0));
352
  roms_pad : outpad generic map (tech => padtech)
353
        port map (flash_ce, romsn);
354
  oen_pad  : outpad generic map (tech => padtech)
355
        port map (sram_flash_oe_n, memo.oen);
356
--pragma translate_off
357
  iosn_pad  : outpad generic map (tech => padtech)
358
        port map (iosn, memo.iosn);
359
--pragma translate_on
360
  rwen_pad : outpadv generic map (width => 4, tech => padtech)
361
        port map (sram_bw, memo.wrn);
362
  wri_pad  : outpad generic map (tech => padtech)
363
        port map (sram_flash_we_n, memo.writen);
364
  data_pads : iopadvv generic map (tech => padtech, width => 32)
365
      port map (sram_flash_data, memo.data, memo.vbdrive, memi.data);
366
 
367
  ddrsp0 : if (CFG_DDRSP /= 0) generate
368
 
369
    ddrc0 : ddrspa generic map ( fabtech => CFG_FABTECH, memtech => memtech,
370
        hindex => 0, haddr => 16#400#, hmask => 16#F00#, ioaddr => 1,
371
        pwron => CFG_DDRSP_INIT, MHz => BOARD_FREQ/1000,
372
        clkmul => CFG_DDRSP_FREQ/10, clkdiv => 10, ahbfreq => CPU_FREQ/1000,
373
        col => CFG_DDRSP_COL, Mbyte => CFG_DDRSP_SIZE, ddrbits => 32)
374
     port map (
375
        rst, rstn, lclk, clkm, lock, clkml, clkml, ahbsi, ahbso(0),
376
        ddr_clkv, ddr_clkbv, open, ddr_clk_fb,
377
        ddr_ckev, ddr_csbv, ddr_web, ddr_rasb, ddr_casb,
378
        ddr_dm, ddr_dqs, ddr_adl, ddr_ba, ddr_dq);
379
        ddr_ad <= ddr_adl(12 downto 0);
380
        ddr_clk <= ddr_clkv(0); ddr_clkb <= ddr_clkbv(0);
381
        ddr_cke <= ddr_ckev(0); ddr_csb <= ddr_csbv(0);
382
  end generate;
383
 
384
  noddr :  if (CFG_DDRSP = 0) generate lock <= '1'; end generate;
385
 
386
----------------------------------------------------------------------
387
---  APB Bridge and various periherals -------------------------------
388
----------------------------------------------------------------------
389
 
390
  bpromgen : if CFG_AHBROMEN /= 0 generate
391
    brom : entity work.ahbrom
392
      generic map (hindex => 6, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
393
      port map ( rstn, clkm, ahbsi, ahbso(6));
394
  end generate;
395
 
396
----------------------------------------------------------------------
397
---  APB Bridge and various periherals -------------------------------
398
----------------------------------------------------------------------
399
 
400
  apb0 : apbctrl                                -- AHB/APB bridge
401
  generic map (hindex => 1, haddr => CFG_APBADDR, nslaves => 16)
402
  port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo );
403
 
404
  ua1 : if CFG_UART1_ENABLE /= 0 generate
405
    uart1 : apbuart                     -- UART 1
406
    generic map (pindex => 1, paddr => 1,  pirq => 2, console => dbguart,
407
        fifosize => CFG_UART1_FIFO)
408
    port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
409
    u1i.extclk <= '0'; u1i.ctsn <= '0';
410
    u1i.rxd <= rxd1 when gpioo.val(21) = '0' else '1';
411
  end generate;
412
 
413
  irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
414
    irqctrl0 : irqmp                    -- interrupt controller
415
    generic map (pindex => 2, paddr => 2, ncpu => NCPU)
416
    port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
417
  end generate;
418
  irq3 : if CFG_IRQ3_ENABLE = 0 generate
419
    x : for i in 0 to NCPU-1 generate
420
      irqi(i).irl <= "0000";
421
    end generate;
422
    apbo(2) <= apb_none;
423
  end generate;
424
 
425
  gpt : if CFG_GPT_ENABLE /= 0 generate
426
    timer0 : gptimer                    -- timer unit
427
    generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
428
        sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
429
        nbits => CFG_GPT_TW)
430
    port map (rstn, clkm, apbi, apbo(3), gpti, open);
431
    gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
432
  end generate;
433
 
434
  nogpt : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
435
 
436
  kbd : if CFG_KBD_ENABLE /= 0 generate
437
    ps21 : apbps2 generic map(pindex => 4, paddr => 4, pirq => 4)
438
      port map(rstn, clkm, apbi, apbo(4), moui, mouo);
439
    ps20 : apbps2 generic map(pindex => 5, paddr => 5, pirq => 5)
440
      port map(rstn, clkm, apbi, apbo(5), kbdi, kbdo);
441
  end generate;
442
  nokbd : if CFG_KBD_ENABLE = 0 generate apbo(5) <= apb_none; kbdo <= ps2o_none; end generate;
443
  kbdclk_pad : iopad generic map (tech => padtech)
444
      port map (ps2_keyb_clk,kbdo.ps2_clk_o, kbdo.ps2_clk_oe, kbdi.ps2_clk_i);
445
  kbdata_pad : iopad generic map (tech => padtech)
446
        port map (ps2_keyb_data, kbdo.ps2_data_o, kbdo.ps2_data_oe, kbdi.ps2_data_i);
447
  mouclk_pad : iopad generic map (tech => padtech)
448
      port map (ps2_mouse_clk, mouo.ps2_clk_o, mouo.ps2_clk_oe, moui.ps2_clk_i);
449
  mouata_pad : iopad generic map (tech => padtech)
450
        port map (ps2_mouse_data, mouo.ps2_data_o, mouo.ps2_data_oe, moui.ps2_data_i);
451
 
452
  vga : if CFG_VGA_ENABLE /= 0 generate
453
    vga0 : apbvga generic map(memtech => memtech, pindex => 6, paddr => 6)
454
      port map(rstn, clkm, ethclk, apbi, apbo(6), vgao);
455
      clk_sel <= "00";
456
  end generate;
457
 
458
  svga : if CFG_SVGA_ENABLE /= 0 generate
459
    svga0 : svgactrl generic map(memtech => memtech, pindex => 6, paddr => 6,
460
        hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
461
        clk0 => 4*(1000000000/BOARD_FREQ), clk1 => 2*(1000000000/BOARD_FREQ),
462
        clk2 => 1000000000/CPU_FREQ, burstlen => 6)
463
       port map(rstn, clkm, clkvga, apbi, apbo(6), vgao, ahbmi,
464
                ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), clk_sel);
465
  end generate;
466
 
467
  vgadiv : if (CFG_VGA_ENABLE + CFG_SVGA_ENABLE) /= 0 generate
468
    clkdiv : process(clk1x, rstn)
469
    begin
470
        if rstn = '0' then clkval <= "00";
471
        elsif rising_edge(clk1x) then
472
          clkval <= clkval + 1;
473
        end if;
474
    end process;
475
    video_clk <= clkval(1) when clk_sel = "00" else clkval(0) when clk_sel = "01" else clkm;
476
    b1 : techbuf generic map (2, CFG_FABTECH) port map (video_clk, clkvga);
477
    dac_clk <= not clkvga;
478
  end generate;
479
 
480
  novga : if (CFG_VGA_ENABLE + CFG_SVGA_ENABLE) = 0 generate
481
     apbo(6) <= apb_none; vgao <= vgao_none;
482
  end generate;
483
 
484
  vert_sync_pad : outpad generic map (tech => padtech)
485
        port map (vid_vsync, vgao.vsync);
486
  horiz_sync_pad : outpad generic map (tech => padtech)
487
        port map (vid_hsync, vgao.hsync);
488
  video_out_r_pad : outpadv generic map (width => 5, tech => padtech)
489
        port map (vid_r(7 downto 3), vgao.video_out_r(7 downto 3));
490
  video_out_g_pad : outpadv generic map (width => 5, tech => padtech)
491
        port map (vid_g(7 downto 3), vgao.video_out_g(7 downto 3));
492
  video_out_b_pad : outpadv generic map (width => 5, tech => padtech)
493
        port map (vid_b(7 downto 3), vgao.video_out_b(7 downto 3));
494
  video_clock_pad : outpad generic map ( tech => padtech)
495
        port map (tft_lcd_clk, dac_clk);
496
 
497
  gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate     -- GPIO unit
498
    grgpio0: grgpio
499
    generic map(pindex => 8, paddr => 8, imask => 16#00F0#, nbits => 14)
500
    port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(8),
501
    gpioi => gpioi, gpioo => gpioo);
502
    gpio_pads : iopadvv generic map (tech => padtech, width => 14)
503
      port map (gpio, gpioo.dout(13 downto 0), gpioo.oen(13 downto 0),
504
                gpioi.din(13 downto 0));
505
  end generate;
506
 
507
  ahbs : if CFG_AHBSTAT = 1 generate    -- AHB status register
508
    ahbstat0 : ahbstat generic map (pindex => 15, paddr => 15, pirq => 7,
509
        nftslv => CFG_AHBSTATN)
510
      port map (rstn, clkm, ahbmi, ahbsi, stati, apbi, apbo(15));
511
  end generate;
512
 
513
  i2cm: if CFG_I2C_ENABLE = 1 generate  -- I2C master
514
    i2c0 : i2cmst
515
      generic map (pindex => 12, paddr => 12, pmask => 16#FFF#, pirq => 11)
516
      port map (rstn, clkm, apbi, apbo(12), i2ci, i2co);
517
    i2c_scl_pad : iopad generic map (tech => padtech)
518
      port map (iic_scl, i2co.scl, i2co.scloen, i2ci.scl);
519
    i2c_sda_pad : iopad generic map (tech => padtech)
520
      port map (iic_sda, i2co.sda, i2co.sdaoen, i2ci.sda);
521
  end generate i2cm;
522
 
523
-----------------------------------------------------------------------
524
---  ETHERNET ---------------------------------------------------------
525
-----------------------------------------------------------------------
526
 
527
    eth1 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
528
      e1 : grethm generic map(hindex => NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE,
529
        pindex => 11, paddr => 11, pirq => 12, memtech => memtech,
530
        mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
531
        nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
532
        macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL,
533
        ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL, giga => CFG_GRETH1G)
534
      port map( rst => rstn, clk => clkm, ahbmi => ahbmi,
535
        ahbmo => ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE),
536
        apbi => apbi, apbo => apbo(11), ethi => ethi, etho => etho);
537
 
538
      emdio_pad : iopad generic map (tech => padtech)
539
      port map (phy_mii_data, etho.mdio_o, etho.mdio_oe, ethi.mdio_i);
540
      etxc_pad : clkpad generic map (tech => padtech, arch => 2)
541
        port map (phy_tx_clk, ethi.tx_clk);
542
      erxc_pad : clkpad generic map (tech => padtech, arch => 2)
543
        port map (phy_rx_clk, ethi.rx_clk);
544
      erxd_pad : inpadv generic map (tech => padtech, width => 8)
545
        port map (phy_rx_data, ethi.rxd(7 downto 0));
546
      erxdv_pad : inpad generic map (tech => padtech)
547
        port map (phy_dv, ethi.rx_dv);
548
      erxer_pad : inpad generic map (tech => padtech)
549
        port map (phy_rx_er, ethi.rx_er);
550
      erxco_pad : inpad generic map (tech => padtech)
551
        port map (phy_col, ethi.rx_col);
552
      erxcr_pad : inpad generic map (tech => padtech)
553
        port map (phy_crs, ethi.rx_crs);
554
 
555
      etxd_pad : outpadv generic map (tech => padtech, width => 8)
556
        port map (phy_tx_data, etho.txd(7 downto 0));
557
      etxen_pad : outpad generic map (tech => padtech)
558
        port map ( phy_tx_en, etho.tx_en);
559
      etxer_pad : outpad generic map (tech => padtech)
560
        port map (phy_tx_er, etho.tx_er);
561
      emdc_pad : outpad generic map (tech => padtech)
562
        port map (phy_mii_clk, etho.mdc);
563
      erst_pad : outpad generic map (tech => padtech)
564
        port map (phy_rst_n, rstn);
565
 
566
      ethi.gtx_clk <= egtx_clk;
567
 
568
    end generate;
569
 
570
-----------------------------------------------------------------------
571
---  AHB RAM ----------------------------------------------------------
572
-----------------------------------------------------------------------
573
 
574
  ocram : if CFG_AHBRAMEN = 1 generate
575
    ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR,
576
        tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ)
577
    port map ( rstn, clkm, ahbsi, ahbso(7));
578
  end generate;
579
 
580
-----------------------------------------------------------------------
581
---  AHB DEBUG --------------------------------------------------------
582
-----------------------------------------------------------------------
583
 
584
--  dma0 : ahbdma
585
--    generic map (hindex => CFG_NCPU+CFG_AHB_UART+CFG_GRETH+CFG_AHB_JTAG,
586
--      pindex => 13, paddr => 13, dbuf => 6)
587
--    port map (rstn, clkm, apbi, apbo(13), ahbmi, 
588
--      ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_GRETH+CFG_AHB_JTAG));
589
 
590
--  at0 : ahbtrace
591
--  generic map ( hindex  => 7, ioaddr => 16#200#, iomask => 16#E00#,
592
--    tech    => memtech, irq     => 0, kbytes  => 8) 
593
--  port map ( rstn, clkm, ahbmi, ahbsi, ahbso(7));
594
 
595
-----------------------------------------------------------------------
596
---  Drive unused bus elements  ---------------------------------------
597
-----------------------------------------------------------------------
598
 
599
--  nam1 : for i in (NCPU+CFG_AHB_UART+CFG_ETH+CFG_AHB_ETH+CFG_AHB_JTAG) to NAHBMST-1 generate
600
--    ahbmo(i) <= ahbm_none;
601
--  end generate;
602
--  nap0 : for i in 11 to NAPBSLV-1 generate apbo(i) <= apb_none; end generate;
603
--  nah0 : for i in 8 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate;
604
 
605
-----------------------------------------------------------------------
606
---  Boot message  ----------------------------------------------------
607
-----------------------------------------------------------------------
608
 
609
-- pragma translate_off
610
  x : report_version
611
  generic map (
612
   msg1 => "LEON3 Avnet ML401 (Virtex4 LX25) Demonstration design",
613
   msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/1000) & "." & tost((LIBVHDL_VERSION mod 1000)/100)
614
      & "." & tost(LIBVHDL_VERSION mod 100) & ", build " & tost(LIBVHDL_BUILD),
615
   msg3 => "Target technology: " & tech_table(fabtech) & ",  memory library: " & tech_table(memtech),
616
   mdel => 1
617
  );
618
-- pragma translate_on
619
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.