OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3mp/] [wave.do] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
onerror {resume}
2
quietly WaveActivateNextPane {} 0
3
add wave -noupdate -format Logic /testbench/clk
4
add wave -noupdate -format Logic /testbench/rst
5
add wave -noupdate -format Literal -radix hexadecimal /testbench/address
6
add wave -noupdate -format Literal -radix hexadecimal /testbench/data
7
add wave -noupdate -format Literal /testbench/ramsn
8
add wave -noupdate -format Literal /testbench/ramoen
9
add wave -noupdate -format Literal /testbench/rwen
10
add wave -noupdate -format Literal /testbench/rwenx
11
add wave -noupdate -format Literal /testbench/romsn
12
add wave -noupdate -format Logic /testbench/iosn
13
add wave -noupdate -format Logic /testbench/oen
14
add wave -noupdate -format Logic /testbench/read
15
add wave -noupdate -format Logic /testbench/writen
16
add wave -noupdate -format Literal -radix hexadecimal /testbench/sa
17
add wave -noupdate -format Literal -radix hexadecimal /testbench/sd
18
add wave -noupdate -format Literal /testbench/sdcke
19
add wave -noupdate -format Literal /testbench/sdcsn
20
add wave -noupdate -format Logic /testbench/sdwen
21
add wave -noupdate -format Logic /testbench/sdrasn
22
add wave -noupdate -format Logic /testbench/sdcasn
23
add wave -noupdate -format Literal /testbench/sddqm
24
add wave -noupdate -format Logic /testbench/sdclk
25
add wave -noupdate -divider {CPU 1}
26
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/ici
27
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/ico
28
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/dci
29
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/dco
30
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/rfi
31
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/rfo
32
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/irqi
33
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/irqo
34
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/dbgi
35
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/dbgo
36
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/r
37
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/wpr
38
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/dsur
39
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/iu0/ir
40
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/cmem0/crami
41
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/cmem0/cramo
42
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/l3/cpu__0/u0/p0/m0/c0/dcache0/r
43
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/mg2/sr1/sd0/sdctrl/r
44
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/apbi
45
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/apbo
46
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/ahbsi
47
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/ahbso
48
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/ahbmi
49
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/ahbmo
50
add wave -noupdate -format Literal -radix hexadecimal /testbench/d3/mg2/sr1/r
51
TreeUpdate [SetDefaultTree]
52
WaveRestoreCursors {{Cursor 1} {35452000 ps} 0}
53
configure wave -namecolwidth 212
54
configure wave -valuecolwidth 117
55
configure wave -justifyvalue left
56
configure wave -signalnamewidth 0
57
configure wave -snapdistance 10
58
configure wave -datasetprefix 0
59
configure wave -rowmargin 4
60
configure wave -childrowmargin 2
61
configure wave -gridoffset 0
62
configure wave -gridperiod 1
63
configure wave -griddelta 40
64
configure wave -timeline 0
65
update
66
WaveRestoreZoom {689872312 ps} {690294089 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.