OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [esa/] [pci/] [pcicomp.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library ieee;
2
library grlib;
3
 
4
use grlib.amba.all;
5
use ieee.std_logic_1164.all;
6
 
7
package pcicomp is
8
 
9
  component pciarb is
10
    generic(
11
      pindex     : integer := 0;
12
      paddr      : integer := 0;
13
      pmask      : integer := 16#FFF#;
14
      nb_agents  : integer := 4;
15
      apb_en     : integer := 1;
16
      netlist    : integer := 0);
17
    port(
18
      clk     : in std_ulogic;
19
      rst_n   : in std_ulogic;
20
      req_n   : in std_logic_vector(0 to nb_agents-1);
21
      frame_n : in std_logic;
22
      gnt_n   : out std_logic_vector(0 to nb_agents-1);
23
      pclk    : in std_ulogic;
24
      prst_n  : in std_ulogic;
25
      apbi    : in apb_slv_in_type;
26
      apbo    : out apb_slv_out_type
27
    );
28
  end component;
29
end package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.