OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gaisler/] [ata/] [ata_inf.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity: ata_inf 
20
-- File: ata_inf.vhd
21
-- Author:  Erik Jagres, Gaisler Research
22
-- Description: ATA components and signals
23
------------------------------------------------------------------------------
24
 
25
Library ieee;
26
Use ieee.std_logic_1164.all;
27
library grlib;
28
use grlib.amba.all;
29
library gaisler;
30
use gaisler.ata.all;
31
use gaisler.misc.all;
32
 
33
package ata_inf is
34
 
35
type slv_to_bm_type is record
36
   prd_belec: std_logic;
37
   en       : std_logic;
38
   dir      : std_logic;
39
   prdtb    : std_logic_vector(31 downto 0);
40
end record;
41
constant SLV_TO_BM_RESET_VECTOR : slv_to_bm_type := ('0','0','0',(others=>'0'));
42
 
43
type bm_to_slv_type is record
44
   err    : std_logic;
45
   done   : std_logic;
46
   cur_base : std_logic_vector(31 downto 0);
47
   cur_cnt : std_logic_vector(15 downto 0);
48
end record;
49
constant BM_TO_SLV_RESET_VECTOR : bm_to_slv_type :=
50
  ('0','0',(others=>'0'),(others=>'0'));
51
 
52
 
53
type bm_to_ctrl_type is record
54
  force_rdy : std_logic;
55
  sel : std_logic;
56
  ack : std_logic;
57
end record;
58
 
59
constant BM_TO_CTR_RESET_VECTOR :  bm_to_ctrl_type := ('0','0','0');
60
 
61
type ctrl_to_bm_type is record
62
  irq       : std_logic;
63
  ack       : std_logic;
64
  req       : std_logic;
65
  rx_empty  : std_logic;
66
  fifo_rdy  : std_logic;
67
  q         : std_logic_vector(31 downto 0);
68
  tip       : std_logic;
69
  rx_full : std_logic;
70
end record;
71
 
72
constant DMA_IN_RESET_VECTOR : ahb_dma_in_type :=
73
  ((others=>'0'),(others=>'0'),'0','0','0','0','0',"10");
74
 
75
type bmi_type is record
76
  fr_mst : ahb_dma_out_type;
77
  fr_slv : slv_to_bm_type;
78
  fr_ctr : ctrl_to_bm_type;
79
end record;
80
 
81
type bmo_type is record
82
  to_mst : ahb_dma_in_type;
83
  to_slv : bm_to_slv_type;
84
  to_ctr : bm_to_ctrl_type;
85
  d   : std_logic_vector(31 downto 0);
86
  we  : std_logic;
87
end record;
88
constant BMO_RESET_VECTOR : bmo_type :=
89
  (DMA_IN_RESET_VECTOR,BM_TO_SLV_RESET_VECTOR,BM_TO_CTR_RESET_VECTOR,(others=>'0'),'0');
90
 
91
end ata_inf;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.