OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gaisler/] [can/] [can_mc.in.h] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
#ifndef CONFIG_CAN_ENABLE
2
#define CONFIG_CAN_ENABLE 0
3
#endif
4
 
5
#ifndef CONFIG_CAN_NUM
6
#define CONFIG_CAN_NUM 1
7
#endif
8
 
9
#ifndef CONFIG_CANIO
10
#define CONFIG_CANIO 0
11
#endif
12
 
13
#ifndef CONFIG_CANIRQ
14
#define CONFIG_CANIRQ 0
15
#endif
16
 
17
#ifndef CONFIG_CANSEPIRQ
18
#define CONFIG_CANSEPIRQ 0
19
#endif
20
 
21
#ifndef CONFIG_CAN_SYNCRST
22
#define CONFIG_CAN_SYNCRST 0
23
#endif
24
 
25
#ifndef CONFIG_CAN_FT
26
#define CONFIG_CAN_FT 0
27
#endif
28
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.