OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gaisler/] [jtag/] [ahbjtag_bsd.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------   
19
-- Entity:      ahbjtag
20
-- File:        ahbjtag.vhd
21
-- Author:      Edvin Catovic, Jiri Gaisler - Gaisler Research
22
-- Description: JTAG communication link with AHB master interface
23
------------------------------------------------------------------------------  
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27
library grlib;
28
use grlib.amba.all;
29
use grlib.stdlib.all;
30
use grlib.devices.all;
31
library techmap;
32
use techmap.gencomp.all;
33
library gaisler;
34
use gaisler.misc.all;
35
use gaisler.libjtagcom.all;
36
use gaisler.jtag.all;
37
 
38
entity ahbjtag_bsd is
39
  generic (
40
    tech    : integer range 0 to NTECH := 0;
41
    hindex  : integer := 0;
42
    nsync : integer range 1 to 2 := 1;
43
    ainst   : integer range 0 to 255 := 2;
44
    dinst   : integer range 0 to 255 := 3);
45
  port (
46
    rst         : in  std_ulogic;
47
    clk         : in  std_ulogic;
48
    ahbi        : in  ahb_mst_in_type;
49
    ahbo        : out ahb_mst_out_type;
50
    asel        : in  std_ulogic;
51
    dsel        : in  std_ulogic;
52
    tck         : in  std_ulogic;
53
    regi        : in  std_ulogic;
54
    shift       : in std_ulogic;
55
    rego        : out  std_ulogic
56
    );
57
end;
58
 
59
architecture struct of ahbjtag_bsd is
60
 
61
 
62
constant REVISION : integer := 0;
63
 
64
signal dmai : ahb_dma_in_type;
65
signal dmao : ahb_dma_out_type;
66
signal ltapi : tap_in_type;
67
signal ltapo : tap_out_type;
68
 
69
begin
70
 
71
  ahbmst0 : ahbmst
72
    generic map (hindex => hindex, venid => VENDOR_GAISLER, devid => GAISLER_AHBJTAG)
73
    port map (rst, clk, dmai, dmao, ahbi, ahbo);
74
 
75
  jtagcom0 : jtagcom generic map (isel => 1, nsync => nsync, ainst => ainst, dinst => dinst)
76
    port map (rst, clk, ltapo, ltapi, dmao, dmai);
77
 
78
  ltapo.asel  <= asel;
79
  ltapo.dsel  <= dsel;
80
  ltapo.tck   <= tck;
81
  ltapo.tdi   <= regi;
82
  ltapo.shift <= shift;
83
  ltapo.reset <= '0';
84
  ltapo.inst  <= (others => '0');
85
  rego <= ltapi.tdo;
86
 
87
-- pragma translate_off
88
    bootmsg : report_version
89
    generic map ("ahbjtag AHB Debug JTAG rev " & tost(REVISION));
90
-- pragma translate_on
91
 
92
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.