OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gaisler/] [jtag/] [jtag.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- package:     jtag
20
-- File:        jtag.vhd
21
-- Author:      Edvin Catovic - Gaisler Research
22
-- Description: JTAG components
23
------------------------------------------------------------------------------
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27
library grlib;
28
use grlib.amba.all;
29
library techmap;
30
use techmap.gencomp.all;
31
 
32
package jtag is
33
 
34
constant JTAG_MANF_ID_GR : integer range 0 to 2047 := 804;
35
constant JTAG_IHP25RH1   : integer range 0 to 65535 := 16#251#;
36
constant JTAG_UT699RH    : integer range 0 to 65535 := 16#699#;
37
constant JTAG_GR702      : integer range 0 to 65535 := 16#702#;
38
 
39
component ahbjtag
40
  generic (
41
    tech    : integer range 0 to NTECH := 0;
42
    hindex  : integer := 0;
43
    nsync : integer range 1 to 2 := 1;
44
    idcode : integer range 0 to 255 := 9;
45
    manf   : integer range 0 to 2047 := 804;
46
    part   : integer range 0 to 65535 := 0;
47
    ver    : integer range 0 to 15 := 0;
48
    ainst   : integer range 0 to 255 := 2;
49
    dinst   : integer range 0 to 255 := 3;
50
    scantest : integer := 0);
51
  port (
52
    rst     : in  std_ulogic;
53
    clk     : in  std_ulogic;
54
    tck     : in  std_ulogic;
55
    tms     : in  std_ulogic;
56
    tdi     : in  std_ulogic;
57
    tdo     : out std_ulogic;
58
    ahbi    : in  ahb_mst_in_type;
59
    ahbo    : out ahb_mst_out_type;
60
    tapo_tck    : out std_ulogic;
61
    tapo_tdi    : out std_ulogic;
62
    tapo_inst   : out std_logic_vector(7 downto 0);
63
    tapo_rst    : out std_ulogic;
64
    tapo_capt   : out std_ulogic;
65
    tapo_shft   : out std_ulogic;
66
    tapo_upd    : out std_ulogic;
67
    tapi_tdo    : in std_ulogic;
68
    trst        : in std_ulogic := '1';
69
    tdoen   : out std_ulogic
70
    );
71
end component;
72
 
73
 
74
component ahbjtag_bsd
75
  generic (
76
    tech    : integer range 0 to NTECH := 0;
77
    hindex  : integer := 0;
78
    nsync : integer range 1 to 2 := 1;
79
    ainst   : integer range 0 to 255 := 2;
80
    dinst   : integer range 0 to 255 := 3);
81
  port (
82
    rst         : in  std_ulogic;
83
    clk         : in  std_ulogic;
84
    ahbi        : in  ahb_mst_in_type;
85
    ahbo        : out ahb_mst_out_type;
86
    asel        : in  std_ulogic;
87
    dsel        : in  std_ulogic;
88
    tck         : in  std_ulogic;
89
    regi        : in  std_ulogic;
90
    shift       : in  std_ulogic;
91
    rego        : out std_ulogic
92
    );
93
end component;
94
 
95
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.