OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gaisler/] [jtag/] [libjtagcom.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Package:     libjtagcom
20
-- File:        libjtagcom.vhd
21
-- Author:      Edvin Catovic - Gaisler Research
22
-- Description: JTAG Commulnications link signal and component declarations
23
------------------------------------------------------------------------------
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27
library grlib;
28
use grlib.amba.all;
29
library gaisler;
30
use gaisler.misc.all;
31
 
32
package libjtagcom is
33
 
34
  type tap_in_type is record
35
    en   : std_ulogic;
36
    tdo  : std_ulogic;
37
  end record;
38
 
39
  type tap_out_type is record
40
    tck   : std_ulogic;
41
    tdi   : std_ulogic;
42
    inst  : std_logic_vector(7 downto 0);
43
    asel  : std_ulogic;
44
    dsel  : std_ulogic;
45
    reset : std_ulogic;
46
    capt  : std_ulogic;
47
    shift : std_ulogic;
48
    upd   : std_ulogic;
49
  end record;
50
 
51
  component jtagcom
52
  generic (
53
    isel   : integer range 0 to 1 := 0;
54
    nsync : integer range 1 to 2 := 2;
55
    ainst  : integer range 0 to 255 := 2;
56
    dinst  : integer range 0 to 255 := 3);
57
  port (
58
    rst  : in std_ulogic;
59
    clk  : in std_ulogic;
60
    tapo : in tap_out_type;
61
    tapi : out tap_in_type;
62
    dmao : in  ahb_dma_out_type;
63
    dmai : out ahb_dma_in_type
64
    );
65
  end component;
66
 
67
end;
68
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.