OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gaisler/] [leon3/] [mfpwx.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity:      grfpwx
20
-- File:        grfpwx.vhd
21
-- Author:      Edvin Catovic - Gaisler Research
22
-- Description: Meiko/MFPC wrapper and FP register file
23
------------------------------------------------------------------------------
24
 
25
library IEEE;
26
use IEEE.std_logic_1164.all;
27
library gaisler;
28
use gaisler.leon3.all;
29
library techmap;
30
use techmap.gencomp.all;
31
 
32
entity mfpwx is
33
  generic (tech     : integer := 0;
34
           pclow    : integer range 0 to 2 := 2;
35
           dsu      : integer range 0 to 1 := 0;
36
           disas    : integer range 0 to 2 := 0);
37
  port (
38
    rst    : in  std_ulogic;                    -- Reset
39
    clk    : in  std_ulogic;
40
    holdn  : in  std_ulogic;                    -- pipeline hold
41
    cpi    : in  fpc_in_type;
42
    cpo    : out fpc_out_type
43
    );
44
end;
45
 
46
architecture rtl of mfpwx is
47
 
48
  component mfpw
49
  generic (pclow    : integer range 0 to 2 := 2;
50
           dsu      : integer range 0 to 1 := 0;
51
           disas    : integer range 0 to 2 := 0
52
           );
53
  port (
54
    rst    : in  std_ulogic;                    -- Reset
55
    clk    : in  std_ulogic;
56
    holdn  : in  std_ulogic;                    -- pipeline hold
57
    cpi_flush   : in std_ulogic;                          -- pipeline flush
58
    cpi_exack           : in std_ulogic;                          -- FP exception acknowledge
59
    cpi_a_rs1   : in std_logic_vector(4 downto 0);
60
    cpi_d_pc    : in std_logic_vector(31 downto 0);
61
    cpi_d_inst  : in std_logic_vector(31 downto 0);
62
    cpi_d_cnt   : in std_logic_vector(1 downto 0);
63
    cpi_d_trap  : in std_ulogic;
64
    cpi_d_annul : in std_ulogic;
65
    cpi_d_pv    : in std_ulogic;
66
    cpi_a_pc    : in std_logic_vector(31 downto 0);
67
    cpi_a_inst  : in std_logic_vector(31 downto 0);
68
    cpi_a_cnt   : in std_logic_vector(1 downto 0);
69
    cpi_a_trap  : in std_ulogic;
70
    cpi_a_annul : in std_ulogic;
71
    cpi_a_pv    : in std_ulogic;
72
    cpi_e_pc    : in std_logic_vector(31 downto 0);
73
    cpi_e_inst  : in std_logic_vector(31 downto 0);
74
    cpi_e_cnt   : in std_logic_vector(1 downto 0);
75
    cpi_e_trap  : in std_ulogic;
76
    cpi_e_annul : in std_ulogic;
77
    cpi_e_pv    : in std_ulogic;
78
    cpi_m_pc    : in std_logic_vector(31 downto 0);
79
    cpi_m_inst  : in std_logic_vector(31 downto 0);
80
    cpi_m_cnt   : in std_logic_vector(1 downto 0);
81
    cpi_m_trap  : in std_ulogic;
82
    cpi_m_annul : in std_ulogic;
83
    cpi_m_pv    : in std_ulogic;
84
    cpi_x_pc    : in std_logic_vector(31 downto 0);
85
    cpi_x_inst  : in std_logic_vector(31 downto 0);
86
    cpi_x_cnt   : in std_logic_vector(1 downto 0);
87
    cpi_x_trap  : in std_ulogic;
88
    cpi_x_annul : in std_ulogic;
89
    cpi_x_pv    : in std_ulogic;
90
    cpi_lddata        : in std_logic_vector(31 downto 0);     -- load data
91
    cpi_dbg_enable : in std_ulogic;
92
    cpi_dbg_write  : in std_ulogic;
93
    cpi_dbg_fsr    : in std_ulogic;                            -- FSR access
94
    cpi_dbg_addr   : in std_logic_vector(4 downto 0);
95
    cpi_dbg_data   : in std_logic_vector(31 downto 0);
96
 
97
    cpo_data          : out std_logic_vector(31 downto 0); -- store data
98
    cpo_exc             : out std_logic;                         -- FP exception
99
    cpo_cc           : out std_logic_vector(1 downto 0);  -- FP condition codes
100
    cpo_ccv            : out std_ulogic;                         -- FP condition codes valid
101
    cpo_ldlock       : out std_logic;                    -- FP pipeline hold
102
    cpo_holdn         : out std_ulogic;
103
    cpo_dbg_data     : out std_logic_vector(31 downto 0);
104
 
105
    rfi1_rd1addr        : out std_logic_vector(3 downto 0);
106
    rfi1_rd2addr        : out std_logic_vector(3 downto 0);
107
    rfi1_wraddr         : out std_logic_vector(3 downto 0);
108
    rfi1_wrdata         : out std_logic_vector(31 downto 0);
109
    rfi1_ren1        : out std_ulogic;
110
    rfi1_ren2        : out std_ulogic;
111
    rfi1_wren        : out std_ulogic;
112
 
113
    rfi2_rd1addr        : out std_logic_vector(3 downto 0);
114
    rfi2_rd2addr        : out std_logic_vector(3 downto 0);
115
    rfi2_wraddr         : out std_logic_vector(3 downto 0);
116
    rfi2_wrdata         : out std_logic_vector(31 downto 0);
117
    rfi2_ren1        : out std_ulogic;
118
    rfi2_ren2        : out std_ulogic;
119
    rfi2_wren        : out std_ulogic;
120
 
121
    rfo1_data1          : in std_logic_vector(31 downto 0);
122
    rfo1_data2          : in std_logic_vector(31 downto 0);
123
    rfo2_data1          : in std_logic_vector(31 downto 0);
124
    rfo2_data2          : in std_logic_vector(31 downto 0)
125
    );
126
  end component;
127
 
128
  signal rfi1, rfi2  : fp_rf_in_type;
129
  signal rfo1, rfo2  : fp_rf_out_type;
130
 
131
begin
132
 
133
   mfpw0 : mfpw generic map (pclow, dsu, disas)
134
   port map (
135
    rst          ,
136
    clk          ,
137
    holdn        ,
138
    cpi.flush    ,
139
    cpi.exack    ,
140
    cpi.a_rs1    ,
141
    cpi.d.pc     ,
142
    cpi.d.inst   ,
143
    cpi.d.cnt    ,
144
    cpi.d.trap   ,
145
    cpi.d.annul  ,
146
    cpi.d.pv     ,
147
    cpi.a.pc     ,
148
    cpi.a.inst   ,
149
    cpi.a.cnt    ,
150
    cpi.a.trap   ,
151
    cpi.a.annul  ,
152
    cpi.a.pv     ,
153
    cpi.e.pc     ,
154
    cpi.e.inst   ,
155
    cpi.e.cnt    ,
156
    cpi.e.trap   ,
157
    cpi.e.annul  ,
158
    cpi.e.pv     ,
159
    cpi.m.pc     ,
160
    cpi.m.inst   ,
161
    cpi.m.cnt    ,
162
    cpi.m.trap   ,
163
    cpi.m.annul  ,
164
    cpi.m.pv     ,
165
    cpi.x.pc     ,
166
    cpi.x.inst   ,
167
    cpi.x.cnt    ,
168
    cpi.x.trap   ,
169
    cpi.x.annul  ,
170
    cpi.x.pv     ,
171
    cpi.lddata   ,
172
    cpi.dbg.enable  ,
173
    cpi.dbg.write   ,
174
    cpi.dbg.fsr     ,
175
    cpi.dbg.addr    ,
176
    cpi.dbg.data    ,
177
 
178
    cpo.data        ,
179
    cpo.exc         ,
180
    cpo.cc          ,
181
    cpo.ccv         ,
182
    cpo.ldlock      ,
183
    cpo.holdn       ,
184
    cpo.dbg.data    ,
185
 
186
    rfi1.rd1addr    ,
187
    rfi1.rd2addr     ,
188
    rfi1.wraddr      ,
189
    rfi1.wrdata      ,
190
    rfi1.ren1        ,
191
    rfi1.ren2        ,
192
    rfi1.wren        ,
193
 
194
    rfi2.rd1addr     ,
195
    rfi2.rd2addr     ,
196
    rfi2.wraddr       ,
197
    rfi2.wrdata       ,
198
    rfi2.ren1         ,
199
    rfi2.ren2         ,
200
    rfi2.wren         ,
201
 
202
    rfo1.data1        ,
203
    rfo1.data2        ,
204
    rfo2.data1        ,
205
    rfo2.data2
206
    );
207
 
208
 
209
 
210
   rf1 : regfile_3p generic map (tech, 4, 32, 1, 16)
211
     port map (clk, rfi1.wraddr, rfi1.wrdata, rfi1.wren, clk, rfi1.rd1addr, rfi1.ren1, rfo1.data1,
212
               rfi1.rd2addr, rfi1.ren2, rfo1.data2);
213
 
214
   rf2 : regfile_3p generic map (tech, 4, 32, 1, 16)
215
     port map (clk, rfi2.wraddr, rfi2.wrdata, rfi2.wren, clk, rfi2.rd1addr, rfi2.ren1, rfo2.data1,
216
               rfi2.rd2addr, rfi2.ren2, rfo2.data2);
217
 
218
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.