OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gaisler/] [spacewire/] [spacewire.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
library ieee;
20
use ieee.std_logic_1164.all;
21
library grlib;
22
use grlib.amba.all;
23
library techmap;
24
use techmap.gencomp.all;
25
 
26
package spacewire is
27
  type grspw_in_type is record
28
    d           : std_logic_vector(1 downto 0);
29
    s           : std_logic_vector(1 downto 0);
30
    tickin      : std_ulogic;
31
    clkdiv10    : std_logic_vector(7 downto 0);
32
    rmapen      : std_ulogic;
33
    dcrstval    : std_logic_vector(9 downto 0);
34
    timerrstval : std_logic_vector(11 downto 0);
35
  end record;
36
 
37
  type grspw_out_type is record
38
    d           : std_logic_vector(1 downto 0);
39
    s           : std_logic_vector(1 downto 0);
40
    tickout     : std_ulogic;
41
    linkdis     : std_ulogic;
42
  end record;
43
 
44
  component grspw2 is
45
    generic(
46
      tech         : integer range 0 to NTECH     := inferred;
47
      hindex       : integer range 0 to NAHBMST-1 := 0;
48
      pindex       : integer range 0 to NAPBSLV-1 := 0;
49
      paddr        : integer range 0 to 16#FFF#   := 0;
50
      pmask        : integer range 0 to 16#FFF#   := 16#FFF#;
51
      pirq         : integer range 0 to NAHBIRQ-1 := 0;
52
      nsync        : integer range 1 to 2  := 1;
53
      rmap         : integer range 0 to 1  := 0;
54
      rmapcrc      : integer range 0 to 1  := 0;
55
      fifosize1    : integer range 4 to 32 := 32;
56
      fifosize2    : integer range 16 to 64 := 64;
57
      rxclkbuftype : integer range 0 to 2 := 0;
58
      rxunaligned  : integer range 0 to 1 := 0;
59
      rmapbufs     : integer range 2 to 8 := 4;
60
      ft           : integer range 0 to 2 := 0;
61
      scantest     : integer range 0 to 1 := 0;
62
      techfifo     : integer range 0 to 1 := 1;
63
      ports        : integer range 1 to 2 := 1;
64
      dmachan      : integer range 1 to 4 := 1;
65
      memtech      : integer range 0 to NTECH := DEFMEMTECH
66
    );
67
    port(
68
      rst        : in  std_ulogic;
69
      clk        : in  std_ulogic;
70
      txclk      : in  std_ulogic;
71
      ahbmi      : in  ahb_mst_in_type;
72
      ahbmo      : out ahb_mst_out_type;
73
      apbi       : in  apb_slv_in_type;
74
      apbo       : out apb_slv_out_type;
75
      swni       : in  grspw_in_type;
76
      swno       : out grspw_out_type
77
    );
78
  end component;
79
 
80
  component grspw is
81
    generic(
82
      tech         : integer range 0 to NTECH := DEFFABTECH;
83
      hindex       : integer range 0 to NAHBMST-1 := 0;
84
      pindex       : integer range 0 to NAPBSLV-1 := 0;
85
      paddr        : integer range 0 to 16#FFF#   := 0;
86
      pmask        : integer range 0 to 16#FFF#   := 16#FFF#;
87
      pirq         : integer range 0 to NAHBIRQ-1 := 0;
88
      sysfreq      : integer := 10000;
89
      usegen       : integer range 0 to 1  := 1;
90
      nsync        : integer range 1 to 2  := 1;
91
      rmap         : integer range 0 to 1  := 0;
92
      rmapcrc      : integer range 0 to 1  := 0;
93
      fifosize1    : integer range 4 to 32 := 32;
94
      fifosize2    : integer range 16 to 64 := 64;
95
      rxclkbuftype : integer range 0 to 2 := 0;
96
      rxunaligned  : integer range 0 to 1 := 0;
97
      rmapbufs     : integer range 2 to 8 := 4;
98
      ft           : integer range 0 to 2 := 0;
99
      scantest     : integer range 0 to 1 := 0;
100
      techfifo     : integer range 0 to 1 := 1;
101
      netlist      : integer range 0 to 1 := 0;
102
      ports        : integer range 1 to 2 := 1;
103
      memtech      : integer range 0 to NTECH := DEFMEMTECH
104
    );
105
    port(
106
      rst        : in  std_ulogic;
107
      clk        : in  std_ulogic;
108
      txclk      : in  std_ulogic;
109
      ahbmi      : in  ahb_mst_in_type;
110
      ahbmo      : out ahb_mst_out_type;
111
      apbi       : in  apb_slv_in_type;
112
      apbo       : out apb_slv_out_type;
113
      swni       : in  grspw_in_type;
114
      swno       : out grspw_out_type);
115
  end component;
116
 
117
  type grspw_in_type_vector is array (natural range <>) of grspw_in_type;
118
  type grspw_out_type_vector is array (natural range <>) of grspw_out_type;
119
 
120
  component grspwm is
121
    generic(
122
      tech         : integer range 0 to NTECH := DEFFABTECH;
123
      hindex       : integer range 0 to NAHBMST-1 := 0;
124
      pindex       : integer range 0 to NAPBSLV-1 := 0;
125
      paddr        : integer range 0 to 16#FFF#   := 0;
126
      pmask        : integer range 0 to 16#FFF#   := 16#FFF#;
127
      pirq         : integer range 0 to NAHBIRQ-1 := 0;
128
      sysfreq      : integer := 10000;
129
      usegen       : integer range 0 to 1  := 1;
130
      nsync        : integer range 1 to 2  := 1;
131
      rmap         : integer range 0 to 1  := 0;
132
      rmapcrc      : integer range 0 to 1  := 0;
133
      fifosize1    : integer range 4 to 32 := 32;
134
      fifosize2    : integer range 16 to 64 := 64;
135
      rxclkbuftype : integer range 0 to 2 := 0;
136
      rxunaligned  : integer range 0 to 1 := 0;
137
      rmapbufs     : integer range 2 to 8 := 4;
138
      ft           : integer range 0 to 2 := 0;
139
      scantest     : integer range 0 to 1 := 0;
140
      techfifo     : integer range 0 to 1 := 1;
141
      netlist      : integer range 0 to 1 := 0;
142
      ports        : integer range 1 to 2 := 1;
143
      dmachan      : integer range 1 to 4 := 1;
144
      memtech      : integer range 0 to NTECH := DEFMEMTECH;
145
      spwcore      : integer range 1 to 2 := 2
146
    );
147
    port(
148
      rst        : in  std_ulogic;
149
      clk        : in  std_ulogic;
150
      txclk      : in  std_ulogic;
151
      ahbmi      : in  ahb_mst_in_type;
152
      ahbmo      : out ahb_mst_out_type;
153
      apbi       : in  apb_slv_in_type;
154
      apbo       : out apb_slv_out_type;
155
      swni       : in  grspw_in_type;
156
      swno       : out grspw_out_type
157
    );
158
  end component;
159
 
160
end package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.