OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gaisler/] [uart/] [uart.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- package:     uart
20
-- File:        uart.vhd
21
-- Author:      Jiri Gaisler - Gaisler Research
22
-- Description: UART types and components
23
------------------------------------------------------------------------------
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27
library grlib;
28
use grlib.amba.all;
29
 
30
package uart is
31
 
32
type uart_in_type is record
33
  rxd           : std_ulogic;
34
  ctsn          : std_ulogic;
35
  extclk        : std_ulogic;
36
end record;
37
 
38
type uart_out_type is record
39
  rtsn          : std_ulogic;
40
  txd           : std_ulogic;
41
  scaler        : std_logic_vector(17 downto 0);
42
  txen          : std_ulogic;
43
  flow          : std_ulogic;
44
  rxen          : std_ulogic;
45
end record;
46
 
47
component apbuart
48
  generic (
49
    pindex   : integer := 0;
50
    paddr    : integer := 0;
51
    pmask    : integer := 16#fff#;
52
    console  : integer := 0;
53
    pirq     : integer := 0;
54
    parity   : integer := 1;
55
    flow     : integer := 1;
56
    fifosize : integer range 1 to 32 := 1;
57
    abits    : integer := 8);
58
  port (
59
    rst    : in  std_ulogic;
60
    clk    : in  std_ulogic;
61
    apbi   : in  apb_slv_in_type;
62
    apbo   : out apb_slv_out_type;
63
    uarti  : in  uart_in_type;
64
    uarto  : out uart_out_type);
65
end component;
66
 
67
component ahbuart
68
  generic (
69
    hindex  : integer := 0;
70
    pindex  : integer := 0;
71
    paddr : integer := 0;
72
    pmask : integer := 16#fff#
73
  );
74
  port (
75
    rst     : in  std_ulogic;
76
    clk     : in  std_ulogic;
77
    uarti   : in  uart_in_type;
78
    uarto   : out uart_out_type;
79
    apbi    : in  apb_slv_in_type;
80
    apbo    : out apb_slv_out_type;
81
    ahbi    : in  ahb_mst_in_type;
82
    ahbo    : out ahb_mst_out_type );
83
end component;
84
 
85
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.