OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gleichmann/] [ac97/] [ac97.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
library grlib;
5
use grlib.amba.all;
6
 
7
 
8
package ac97 is
9
 
10
  component ac97_oc
11
    generic (
12
      slvndx : integer;
13
      ioaddr : integer;
14
      iomask : integer;
15
      irq    : integer);
16
    port (
17
      resetn            : in  std_logic;
18
      clk               : in  std_logic;
19
      ahbsi             : in  ahb_slv_in_type;
20
      ahbso             : out ahb_slv_out_type;
21
      bit_clk_pad_i     : in  std_logic;
22
      sync_pad_o        : out std_logic;
23
      sdata_pad_o       : out std_logic;
24
      sdata_pad_i       : in  std_logic;
25
      ac97_reset_padn_o : out std_logic;
26
      int_o             : out std_logic;
27
      dma_req_o         : out std_logic_vector(8 downto 0);
28
      dma_ack_i         : in  std_logic_vector(8 downto 0);
29
      suspended_o       : out std_logic;
30
      int_pol           : in std_logic
31
      );
32
  end component;
33
 
34
end package ac97;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.