OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gleichmann/] [dac/] [dac_p.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library ieee;
2
use ieee.std_logic_1164.all;
3
library grlib;
4
use grlib.amba.all;
5
 
6
package dac is
7
 
8
  type adcdac_in_type is
9
    record
10
      adc_in : std_ulogic;
11
    end record;
12
 
13
  type adcdac_out_type is
14
    record
15
      adc_fb  : std_ulogic;
16
      dac_out : std_ulogic;
17
    end record;
18
 
19
  component adcdac
20
    generic (
21
      pindex : integer;
22
      paddr  : integer;
23
      pmask  : integer;
24
      nbits  : integer);
25
    port (
26
      rst     : in  std_ulogic;
27
      clk     : in  std_ulogic;
28
      apbi    : in  apb_slv_in_type;
29
      apbo    : out apb_slv_out_type;
30
      adcdaci : in  adcdac_in_type;
31
      adcdaco : out adcdac_out_type);
32
  end component;
33
 
34
  component dac_ahb
35
    generic (
36
      length : integer;
37
      hindex : integer;
38
      haddr  : integer;
39
      hmask  : integer;
40
      tech   : integer;
41
      kbytes : integer);
42
    port (
43
      rst     : in  std_ulogic;
44
      clk     : in  std_ulogic;
45
      ahbsi   : in  ahb_slv_in_type;
46
      ahbso   : out ahb_slv_out_type;
47
      dac_out : out std_ulogic);
48
  end component;
49
 
50
  component sigdelt
51
    generic (
52
      c_dacin_length : positive);
53
    port (
54
      reset   : in  std_logic;
55
      clock   : in  std_logic;
56
      dac_in  : in  std_logic_vector(c_dacin_length-1 downto 0);
57
      dac_out : out std_logic);
58
  end component;
59
 
60
  component adc_sigdelt
61
    generic (
62
      c_adcin_length : positive);
63
    port (
64
      rstn    : in  std_ulogic;
65
      clk     : in  std_ulogic;
66
      valid   : out std_ulogic;
67
      adc_fb  : out std_ulogic;
68
      adc_out : out std_logic_vector(c_adcin_length-1 downto 0);
69
      adc_in  : in  std_ulogic);
70
  end component;
71
 
72
end dac;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.