OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gleichmann/] [i2c/] [i2c.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
package i2c is
5
 
6
  component ParToI2s
7
    generic (
8
      SampleSize_g : natural);
9
    port (
10
      Clk_i           : in  std_ulogic;
11
      Reset_i         : in  std_ulogic;
12
      SampleLeft_i    : in  std_ulogic_vector(SampleSize_g - 1 downto 0);
13
      SampleRight_i   : in  std_ulogic_vector(SampleSize_g - 1 downto 0);
14
      StrobeLeft_i    : in  std_ulogic;
15
      StrobeRight_i   : in  std_ulogic;
16
      SampleAck_o     : out std_ulogic;
17
      WaitForSample_o : out std_ulogic;
18
      SClk_i          : in  std_ulogic;
19
      LRClk_i         : in  std_ulogic;
20
      SdnyData_o      : out std_ulogic);
21
  end component;
22
 
23
end i2c;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.