OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gleichmann/] [miscellaneous/] [miscellaneous_p.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
package miscellaneous is
5
 
6
  -- Gleichmann board types
7
  constant compact_v1    : integer := 1;
8
  constant compact_v2    : integer := 2;
9
  constant mini_altera   : integer := 3;
10
  constant mini_lattice  : integer := 4;
11
  constant mini_lattice2 : integer := 5;
12
  constant midi          : integer := 6;
13
 
14
  component postponer
15
    generic(
16
      HAMAX : integer := 32;
17
      HDMAX : integer := 32;
18
      delta : integer := 1
19
      );
20
    port(
21
      hsel_d      : out std_logic;
22
      hready_ba_d : out std_logic;
23
      hwrite_d    : out std_logic;
24
      hmastlock_d : out std_logic;
25
      haddr_d     : out std_logic_vector;
26
      htrans_d    : out std_logic_vector(1 downto 0);
27
      hsize_d     : out std_logic_vector(2 downto 0);
28
      hburst_d    : out std_logic_vector(2 downto 0);
29
      hwdata_d    : out std_logic_vector;
30
      hmaster_d   : out std_logic_vector(3 downto 0);
31
      hsel        : in  std_logic;
32
      hready_ba   : in  std_logic;
33
      hwrite      : in  std_logic;
34
      hmastlock   : in  std_logic;
35
      haddr       : in  std_logic_vector;
36
      htrans      : in  std_logic_vector(1 downto 0);
37
      hsize       : in  std_logic_vector(2 downto 0);
38
      hburst      : in  std_logic_vector(2 downto 0);
39
      hwdata      : in  std_logic_vector;
40
      hmaster     : in  std_logic_vector(3 downto 0)
41
      );
42
  end component;
43
 
44
 
45
  component ahb2wb
46
    generic(
47
      HAMAX : integer := 8;
48
      HDMAX : integer := 8
49
      );
50
    port(
51
      hclk      : in  std_logic;
52
      hresetn   : in  std_logic;
53
      hsel      : in  std_logic;
54
      hready_ba : in  std_logic;
55
      haddr     : in  std_logic_vector;
56
      hwrite    : in  std_logic;
57
      htrans    : in  std_logic_vector(1 downto 0);
58
      hsize     : in  std_logic_vector(2 downto 0);
59
      hburst    : in  std_logic_vector(2 downto 0);
60
      hwdata    : in  std_logic_vector;
61
      hmaster   : in  std_logic_vector(3 downto 0);
62
      hmastlock : in  std_logic;
63
      hready    : out std_logic;
64
      hresp     : out std_logic_vector(1 downto 0);
65
      hrdata    : out std_logic_vector;
66
      hsplit    : out std_logic_vector(15 downto 0);
67
      wb_inta_i : in  std_logic;
68
      wbm_adr_o : out std_logic_vector;
69
      wbm_dat_o : out std_logic_vector;
70
      wbm_sel_o : out std_logic_vector(3 downto 0);
71
      wbm_we_o  : out std_logic;
72
      wbm_stb_o : out std_logic;
73
      wbm_cyc_o : out std_logic;
74
      wbm_dat_i : in  std_logic_vector;
75
      wbm_ack_i : in  std_logic;
76
      wbm_rty_i : in  std_logic;
77
      wbm_err_i : in  std_logic;
78
      irq_o     : out std_logic
79
      );
80
  end component;
81
 
82
end miscellaneous;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.