OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gleichmann/] [spi/] [spi_p.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
package spi is
5
 
6
  component spi_xmit
7
    generic (
8
      data_width : integer := 16);
9
    port (
10
      clk_i      : in  std_ulogic;
11
      rst_i      : in  std_ulogic;
12
      data_i     : in  std_logic_vector(data_width-1 downto 0);
13
      CODEC_SDIN : out std_ulogic;
14
      CODEC_CS   : out std_ulogic);
15
  end component;
16
 
17
end spi;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.