OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gleichmann/] [spi/] [sspi_p.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
library grlib;
5
use grlib.amba.all;
6
 
7
package sspi is
8
 
9
  type sspi_in_type is
10
    record
11
      miso : std_ulogic;
12
    end record;
13
 
14
  type sspi_out_type is
15
    record
16
      mosi : std_ulogic;
17
      sck  : std_ulogic;
18
      ssn  : std_logic_vector(7 downto 0);
19
    end record;
20
 
21
  component spi_oc is
22
    generic (
23
      pindex : integer := 0;            -- Leon-Index
24
      paddr  : integer := 0;            -- Leon-Address
25
      pmask  : integer := 16#FFF#;      -- Leon-Mask
26
      pirq   : integer := 0             -- Leon-IRQ
27
      );
28
    port (
29
      rstn    : in  std_ulogic;         -- global Reset, active low
30
      clk     : in  std_ulogic;         -- global Clock
31
      apbi    : in  apb_slv_in_type;    -- APB-Input
32
      apbo    : out apb_slv_out_type;   -- APB-Output
33
      spi_in  : in  sspi_in_type;        -- MultIO-Inputs
34
      spi_out : out sspi_out_type        -- Spi-Outputs
35
      );
36
  end component spi_oc;
37
 
38
end package sspi;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.