OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [grlib/] [amba/] [amba.in.h] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
#ifndef CONFIG_AHB_SPLIT
2
#define CONFIG_AHB_SPLIT 0
3
#endif
4
 
5
#ifndef CONFIG_AHB_RROBIN
6
#define CONFIG_AHB_RROBIN 0
7
#endif
8
 
9
#ifndef CONFIG_AHB_IOADDR
10
#define CONFIG_AHB_IOADDR FFF
11
#endif
12
 
13
#ifndef CONFIG_APB_HADDR
14
#define CONFIG_APB_HADDR 800
15
#endif
16
 
17
#ifndef CONFIG_AHB_MON
18
#define CONFIG_AHB_MON 0
19
#endif
20
 
21
#ifndef CONFIG_AHB_MONERR
22
#define CONFIG_AHB_MONERR 0
23
#endif
24
 
25
#ifndef CONFIG_AHB_MONWAR
26
#define CONFIG_AHB_MONWAR 0
27
#endif
28
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.