OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [grlib/] [util/] [util.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Package:     util
20
-- File:        util.vhd
21
-- Author:      Jiri Gaisler, Gaisler Research
22
-- Description: Misc utilities
23
------------------------------------------------------------------------------
24
 
25
-- pragma translate_off
26
 
27
library ieee;
28
use ieee.std_logic_1164.all;
29
library grlib;
30
use grlib.stdlib.all;
31
 
32
entity report_version is
33
  generic (msg1, msg2, msg3, msg4 : string := ""; mdel : integer := 4);
34
end;
35
 
36
architecture beh of report_version is
37
begin
38
 
39
  x : process
40
 
41
  begin
42
    wait for mdel * 1 ns;
43
    if (msg1 /= "") then print(msg1); end if;
44
    if (msg2 /= "") then print(msg2); end if;
45
    if (msg3 /= "") then print(msg3); end if;
46
    if (msg4 /= "") then print(msg4); end if;
47
    wait;
48
  end process;
49
end;
50
 
51
-- pragma translate_on

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.