OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [openchip/] [gpio/] [gpio.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
----------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2004 GAISLER RESEARCH
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  See the file COPYING for the full details of the license.
11
--
12
-----------------------------------------------------------------------------
13
-- package:     gpio
14
-- File:        gpio.vhd
15
-- Author:      Antti Lukats, OpenChip
16
-- Description: GPIO types and components
17
------------------------------------------------------------------------------
18
 
19
library ieee;
20
use ieee.std_logic_1164.all;
21
library grlib;
22
use grlib.amba.all;
23
 
24
package gpio is
25
 
26
type gpio_in_type is record
27
  d_in          : std_logic_vector(31 downto 0);
28
end record;
29
 
30
type gpio_out_type is record
31
  d_out         : std_logic_vector(31 downto 0);
32
  t_out         : std_logic_vector(31 downto 0);
33
end record;
34
 
35
component apbgpio
36
  generic (
37
    pindex  : integer := 0;
38
    paddr   : integer := 0;
39
    pmask   : integer := 16#fff#;
40
    pirq    : integer := 0);
41
  port (
42
    rst     : in  std_ulogic;
43
    clk     : in  std_ulogic;
44
    apbi    : in  apb_slv_in_type;
45
    apbo    : out apb_slv_out_type;
46
    gpioi   : in  gpio_in_type;
47
    gpioo   : out gpio_out_type);
48
end component;
49
 
50
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.