OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [tech/] [atc18/] [components/] [atmel_components.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Package:     atmel_components
20
-- File:        atmel_components.vhd
21
-- Author:      Jiri Gaisler - Gaisler Research
22
-- Description: ATMEL ATC18 component declarations
23
------------------------------------------------------------------------------
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27
 
28
package atc18_components is
29
 
30
  -- input pad
31
 
32
  component pc33d00 port (pad : in std_logic; cin : out std_logic); end component;
33
 
34
  -- input pad with pull-up
35
 
36
  component pc33d00u port (pad : in std_logic; cin : out std_logic); end component;
37
 
38
  -- schmitt input pad
39
 
40
  component pc33d20 port (pad : in std_logic; cin : out std_logic); end component;
41
 
42
  -- schmitt input pad with pull-up
43
 
44
  component pt33d20u port (pad : inout std_logic; cin : out std_logic); end component;
45
 
46
  -- output pads
47
 
48
  component pt33o01 port (i : in std_logic; pad : out std_logic); end component;
49
  component pt33o02 port (i : in std_logic; pad : out std_logic); end component;
50
  component pt33o03 port (i : in std_logic; pad : out std_logic); end component;
51
  component pt33o04 port (i : in std_logic; pad : out std_logic); end component;
52
 
53
  -- tri-state output pads
54
 
55
  component pt33t01 port (i, oen : in std_logic; pad : out std_logic); end component;
56
  component pt33t02 port (i, oen : in std_logic; pad : out std_logic); end component;
57
  component pt33t03 port (i, oen : in std_logic; pad : out std_logic); end component;
58
  component pt33t04 port (i, oen : in std_logic; pad : out std_logic); end component;
59
 
60
  -- tri-state output pads with pull-up
61
 
62
  component pt33t01u port (i, oen : in std_logic; pad : out std_logic); end component;
63
  component pt33t02u port (i, oen : in std_logic; pad : out std_logic); end component;
64
  component pt33t03u port (i, oen : in std_logic; pad : out std_logic); end component;
65
  component pt33t04u port (i, oen : in std_logic; pad : out std_logic); end component;
66
 
67
  -- bidirectional pads
68
 
69
  component pt33b01
70
    port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
71
  end component;
72
  component pt33b02
73
    port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
74
  end component;
75
  component pt33b03
76
    port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
77
  end component;
78
  component pt33b04
79
    port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
80
  end component;
81
 
82
  -- bidirectional pads with pull-up
83
 
84
  component pt33b01u
85
    port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
86
  end component;
87
  component pt33b02u
88
    port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
89
  end component;
90
  component pt33b03u
91
    port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
92
  end component;
93
  component pt33b04u
94
    port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
95
  end component;
96
 
97
--PCI pads
98
 
99
  component pp33o01
100
    port (i : in  std_logic; pad : out  std_logic);
101
  end component;
102
  component pp33b015vt
103
    port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
104
  end component;
105
  component pp33t015vt
106
    port (i, oen : in  std_logic; pad : out  std_logic);
107
  end component;
108
 
109
end;
110
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.