OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [tech/] [atc18/] [components/] [atmel_simprims.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity:      Various
20
-- File:        atmel_simprims.vhd
21
-- Author:      Jiri Gaisler - Gaisler Research
22
-- Description: ATMEL ATC18 behavioural models
23
--              Modelled after IO33/PCILIB data sheets
24
------------------------------------------------------------------------------
25
 
26
-- pragma translate_off
27
-- input pad
28
 
29
library ieee;
30
use ieee.std_logic_1164.all;
31
 
32
entity pc33d00z is port (pad : in std_logic; cin : out std_logic); end;
33
architecture rtl of pc33d00z is begin cin <= to_x01(pad) after 1 ns; end;
34
 
35
-- input pad with pull-up
36
 
37
library ieee;
38
use ieee.std_logic_1164.all;
39
 
40
entity pc33d00uz is port (pad : inout std_logic; cin : out std_logic); end;
41
architecture rtl of pc33d00uz is
42
begin cin <= to_x01(pad) after 1 ns; pad <= 'H'; end;
43
 
44
-- input schmitt pad
45
 
46
library ieee;
47
use ieee.std_logic_1164.all;
48
 
49
entity pc33d20z is port (pad : in std_logic; cin : out std_logic); end;
50
architecture rtl of pc33d20z is begin cin <= to_x01(pad) after 1 ns; end;
51
 
52
-- input schmitt pad with pull-up
53
 
54
library ieee;
55
use ieee.std_logic_1164.all;
56
 
57
entity pc33d20uz is port (pad : inout std_logic; cin : out std_logic); end;
58
architecture rtl of pc33d20uz is
59
begin cin <= to_x01(pad) after 1 ns; pad <= 'H'; end;
60
 
61
-- output pads
62
 
63
library ieee; use ieee.std_logic_1164.all;
64
 
65
entity pt33o01z is port (i : in  std_logic; pad : out  std_logic); end;
66
architecture rtl of pt33o01z is begin pad <= to_x01(i) after 2 ns; end;
67
 
68
library ieee; use ieee.std_logic_1164.all;
69
 
70
entity pt33o02z is port (i : in  std_logic; pad : out  std_logic); end;
71
architecture rtl of pt33o02z is begin pad <= to_x01(i) after 2 ns; end;
72
 
73
library ieee; use ieee.std_logic_1164.all;
74
 
75
entity pt33o04z is port (i : in  std_logic; pad : out  std_logic); end;
76
architecture rtl of pt33o04z is begin pad <= to_x01(i) after 2 ns; end;
77
 
78
library ieee; use ieee.std_logic_1164.all;
79
 
80
entity pt33o08z is port (i : in  std_logic; pad : out  std_logic); end;
81
architecture rtl of pt33o08z is begin pad <= to_x01(i) after 2 ns; end;
82
 
83
-- output tri-state pads
84
 
85
library ieee; use ieee.std_logic_1164.all;
86
 
87
entity pt33t01z is port (i, oen : in  std_logic; pad : out  std_logic); end;
88
architecture rtl of pt33t01z is
89
begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; end;
90
 
91
library ieee; use ieee.std_logic_1164.all;
92
 
93
entity pt33t02z is port (i, oen : in  std_logic; pad : out  std_logic); end;
94
architecture rtl of pt33t02z is
95
begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; end;
96
 
97
library ieee; use ieee.std_logic_1164.all;
98
 
99
entity pt33t04z is port (i, oen : in  std_logic; pad : out  std_logic); end;
100
architecture rtl of pt33t04z is
101
begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; end;
102
 
103
library ieee; use ieee.std_logic_1164.all;
104
 
105
entity pt33t08z is port (i, oen : in  std_logic; pad : out  std_logic); end;
106
architecture rtl of pt33t08z is
107
begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; end;
108
 
109
-- output tri-state pads with pull-up
110
 
111
library ieee; use ieee.std_logic_1164.all;
112
 
113
entity pt33t01uz is port (i, oen : in  std_logic; pad : out  std_logic); end;
114
architecture rtl of pt33t01uz is
115
begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; end;
116
 
117
library ieee; use ieee.std_logic_1164.all;
118
 
119
entity pt33t02uz is port (i, oen : in  std_logic; pad : out  std_logic); end;
120
architecture rtl of pt33t02uz is
121
begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; end;
122
 
123
library ieee; use ieee.std_logic_1164.all;
124
 
125
entity pt33t04uz is port (i, oen : in  std_logic; pad : out  std_logic); end;
126
architecture rtl of pt33t04uz is
127
begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; end;
128
 
129
-- bidirectional pad
130
 
131
library ieee; use ieee.std_logic_1164.all;
132
 
133
entity pt33b01z is
134
  port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
135
end;
136
architecture rtl of pt33b01z is
137
begin
138
  pad <= to_x01(i) after 2 ns when oen = '0' else 'Z' after 2 ns;
139
  cin <= to_x01(pad) after 1 ns;
140
end;
141
 
142
library ieee; use ieee.std_logic_1164.all;
143
entity pt33b02z is
144
  port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
145
end;
146
architecture rtl of pt33b02z is
147
begin
148
  pad <= to_x01(i) after 2 ns when oen = '0' else 'Z' after 2 ns;
149
  cin <= to_x01(pad) after 1 ns;
150
end;
151
 
152
library ieee; use ieee.std_logic_1164.all;
153
 
154
entity pt33b08z is
155
  port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
156
end;
157
architecture rtl of pt33b08z is
158
begin
159
  pad <= to_x01(i) after 2 ns when oen = '0' else 'Z' after 2 ns;
160
  cin <= to_x01(pad) after 1 ns;
161
end;
162
 
163
library ieee; use ieee.std_logic_1164.all;
164
 
165
entity pt33b04z is
166
  port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
167
end;
168
architecture rtl of pt33b04z is
169
begin
170
  pad <= to_x01(i) after 2 ns when oen = '0' else 'Z' after 2 ns;
171
  cin <= to_x01(pad) after 1 ns;
172
end;
173
 
174
-- bidirectional pads with pull-up
175
 
176
library ieee;
177
use ieee.std_logic_1164.all;
178
 
179
entity pt33b01uz is
180
  port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
181
end;
182
 
183
architecture rtl of pt33b01uz is
184
begin
185
  pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns;
186
  cin <= to_x01(pad) after 1 ns;
187
end;
188
 
189
library ieee;
190
use ieee.std_logic_1164.all;
191
 
192
entity pt33b02uz is
193
  port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
194
end;
195
architecture rtl of pt33b02uz is
196
begin
197
  pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns;
198
  cin <= to_x01(pad) after 1 ns;
199
end;
200
 
201
library ieee;
202
use ieee.std_logic_1164.all;
203
 
204
entity pt33b08uz is
205
  port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
206
end;
207
architecture rtl of pt33b08uz is
208
begin
209
  pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns;
210
  cin <= to_x01(pad) after 1 ns;
211
end;
212
 
213
library ieee;
214
use ieee.std_logic_1164.all;
215
 
216
entity pt33b04uz is
217
  port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
218
end;
219
architecture rtl of pt33b04uz is
220
begin
221
  pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns;
222
  cin <= to_x01(pad) after 1 ns;
223
end;
224
 
225
-- PCI output pad
226
 
227
library ieee; use ieee.std_logic_1164.all;
228
entity pp33o01z is port (i : in  std_logic; pad : out  std_logic); end;
229
architecture rtl of pp33o01z is begin pad <= to_x01(i) after 2 ns; end;
230
 
231
-- PCI bidirectional pad
232
 
233
library ieee; use ieee.std_logic_1164.all;
234
entity pp33b01z is
235
  port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
236
end;
237
architecture rtl of pp33b01z is
238
begin
239
  pad <= to_x01(i) after 2 ns when oen = '0' else 'Z' after 2 ns;
240
  cin <= to_x01(pad) after 1 ns;
241
end;
242
 
243
-- PCI output tri-state pad
244
 
245
library ieee; use ieee.std_logic_1164.all;
246
entity pp33t01z is port (i, oen : in  std_logic; pad : out  std_logic); end;
247
architecture rtl of pp33t01z is
248
begin pad <= to_x01(i) after 2 ns when oen = '0' else 'Z' after 2 ns; end;
249
-- pragma translate_on

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.