OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [tech/] [axcelerator/] [components/] [axcelerator_components.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Package:     components
20
-- File:        components.vhd
21
-- Author:      Jiri Gaisler, Gaisler Research
22
-- Description: Simple Actel RAM and pad component declarations
23
-----------------------------------------------------------------------------
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27
 
28
package components is
29
 
30
-- Axcellerator rams
31
 
32
  component RAM64K36
33
    port(
34
    WRAD0, WRAD1, WRAD2, WRAD3, WRAD4, WRAD5, WRAD6, WRAD7, WRAD8, WRAD9, WRAD10,
35
    WRAD11, WRAD12, WRAD13, WRAD14, WRAD15, WD0, WD1, WD2, WD3, WD4, WD5, WD6,
36
    WD7, WD8, WD9, WD10, WD11, WD12, WD13, WD14, WD15, WD16, WD17, WD18, WD19,
37
    WD20, WD21, WD22, WD23, WD24, WD25, WD26, WD27, WD28, WD29, WD30, WD31, WD32,
38
    WD33, WD34, WD35, WEN, DEPTH0, DEPTH1, DEPTH2, DEPTH3, WW0, WW1, WW2, WCLK,
39
    RDAD0, RDAD1, RDAD2, RDAD3, RDAD4, RDAD5, RDAD6, RDAD7, RDAD8, RDAD9, RDAD10,
40
    RDAD11, RDAD12, RDAD13, RDAD14, RDAD15, REN, RW0, RW1, RW2, RCLK : in std_logic;
41
    RD0, RD1, RD2, RD3, RD4, RD5, RD6, RD7, RD8, RD9, RD10, RD11, RD12, RD13,
42
    RD14, RD15, RD16, RD17, RD18, RD19, RD20, RD21, RD22, RD23, RD24, RD25, RD26,
43
    RD27, RD28, RD29, RD30, RD31, RD32, RD33, RD34, RD35 : out std_logic);
44
  end component;
45
 
46
  attribute syn_black_box : boolean;
47
  attribute syn_black_box of RAM64K36 : component is true;
48
  attribute syn_tco1 : string;
49
  attribute syn_tco2 : string;
50
  attribute syn_tco1 of RAM64K36 : component is
51
  "RCLK->RD0,RD1,RD2,RD3,RD4,RD5,RD6,RD7,RD8,RD9,RD10,RD11,RD12,RD13,RD14,RD15,RD16,RD17,RD18,RD19,RD20,RD21,RD22,RD23,RD24,RD25,RD26,RD27,RD28,RD29,RD30,RD31,RD32,RD33,RD34,RD35 = 4.0";
52
 
53
-- Buffers
54
 
55
  component inbuf_lvds port(Y : out std_logic; PADP : in std_logic; PADN : in std_logic); end component;
56
  component outbuf_lvds port(D : in std_logic; PADP : out std_logic; PADN : out std_logic); end component;
57
  component hclkbuf
58
  port( pad : in  std_logic; y   : out std_logic); end component;
59
  component clkbuf port(pad : in std_logic; y : out std_logic); end component;
60
  component inbuf port(pad :in std_logic; y : out std_logic); end component;
61
  component bibuf port(
62
    d, e : in  std_logic; pad : inout std_logic; y : out std_logic);
63
  end component;
64
  component outbuf port(d : in std_logic; pad : out std_logic); end component;
65
  component outbuf_f_8 port(d : in std_logic; pad : out std_logic); end component;
66
  component outbuf_f_12 port(d : in std_logic; pad : out std_logic); end component;
67
  component outbuf_f_16 port(d : in std_logic; pad : out std_logic); end component;
68
  component outbuf_f_24 port(d : in std_logic; pad : out std_logic); end component;
69
  component tribuff port(d, e : in std_logic; pad : out std_logic); end component;
70
 
71
  component hclkint port(a : in std_ulogic; y : out std_ulogic); end component;
72
  component clkint port(a : in std_ulogic; y : out std_ulogic); end component;
73
  component hclkbuf_pci
74
  port( pad : in  std_logic; y   : out std_logic); end component;
75
  component clkbuf_pci port(pad : in std_logic; y : out std_logic); end component;
76
  component inbuf_pci port(pad :in std_logic; y : out std_logic); end component;
77
  attribute syn_tpd11 : string;
78
  attribute syn_tpd11 of inbuf_pci : component is "pad -> y = 2.0";
79
  component bibuf_pci port(
80
    d, e : in  std_logic; pad : inout std_logic; y : out std_logic);
81
  end component;
82
  attribute syn_tpd12 : string;
83
  attribute syn_tpd12 of bibuf_pci : component is "pad -> y = 2.0";
84
  component outbuf_pci port(d : in std_logic; pad : out std_logic); end component;
85
  attribute syn_tpd13 : string;
86
  attribute syn_tpd13 of outbuf_pci : component is "d -> pad = 2.0";
87
  component tribuff_pci port(d, e : in std_logic; pad : out std_logic); end component;
88
  attribute syn_tpd14 : string;
89
  attribute syn_tpd14 of tribuff_pci : component is "d,e -> pad = 2.0";
90
 
91
 
92
-- 1553 -------------------------------
93
 
94
   component add1 is
95
      port(
96
      a : in std_logic;
97
      b : in std_logic;
98
      fci : in std_logic;
99
      s : out std_logic;
100
      fco : out std_logic);
101
   end component add1;
102
 
103
   component and2 is
104
      port(
105
      a : in std_logic;
106
      b : in std_logic;
107
      y : out std_logic);
108
   end component and2;
109
 
110
   component and2a is
111
      port(
112
      a : in std_logic;
113
      b : in std_logic;
114
      y : out std_logic);
115
   end component and2a;
116
 
117
   component and2b is
118
      port(
119
      a : in std_logic;
120
      b : in std_logic;
121
      y : out std_logic);
122
   end component and2b;
123
 
124
   component and3 is
125
      port(
126
      a : in std_logic;
127
      b : in std_logic;
128
      c : in std_logic;
129
      y : out std_logic);
130
   end component and3;
131
 
132
   component and3a is
133
      port(
134
      a : in std_logic;
135
      b : in std_logic;
136
      c : in std_logic;
137
      y : out std_logic);
138
   end component and3a;
139
 
140
   component and3b is
141
      port(
142
      a : in std_logic;
143
      b : in std_logic;
144
      c : in std_logic;
145
      y : out std_logic);
146
   end component and3b;
147
 
148
   component and3c is
149
      port(
150
      a : in std_logic;
151
      b : in std_logic;
152
      c : in std_logic;
153
      y : out std_logic);
154
   end component and3c;
155
 
156
   component and4 is
157
      port(
158
      a : in std_logic;
159
      b : in std_logic;
160
      c : in std_logic;
161
      d : in std_logic;
162
      y : out std_logic);
163
   end component and4;
164
 
165
   component and4a is
166
      port(
167
      a : in std_logic;
168
      b : in std_logic;
169
      c : in std_logic;
170
      d : in std_logic;
171
      y : out std_logic);
172
   end component and4a;
173
 
174
   component and4b is
175
      port(
176
      a : in std_logic;
177
      b : in std_logic;
178
      c : in std_logic;
179
      d : in std_logic;
180
      y : out std_logic);
181
   end component and4b;
182
 
183
   component and4c is
184
      port(
185
      a : in std_logic;
186
      b : in std_logic;
187
      c : in std_logic;
188
      d : in std_logic;
189
      y : out std_logic);
190
   end component and4c;
191
 
192
   component bufd is
193
     port(
194
      a : in std_logic;
195
      y : out std_logic);
196
   end component;
197
 
198
   component buff is
199
      port(
200
      a : in std_logic;
201
      y : out std_logic);
202
   end component buff;
203
 
204
   component cm8 is
205
      port(
206
      d0 : in std_logic;
207
      d1 : in std_logic;
208
      d2 : in std_logic;
209
      d3 : in std_logic;
210
      s00 : in std_logic;
211
      s01 : in std_logic;
212
      s10 : in std_logic;
213
      s11 : in std_logic;
214
      y : out std_logic);
215
   end component cm8;
216
 
217
   component cm8inv is
218
      port(
219
      a : in std_logic;
220
      y : out std_logic);
221
   end component cm8inv;
222
 
223
   component df1 is
224
      port(
225
      d : in std_logic;
226
      clk : in std_logic;
227
      q : out std_logic);
228
   end component df1;
229
 
230
   component dfc1b is
231
      port(
232
      d : in std_logic;
233
      clk : in std_logic;
234
      clr : in std_logic;
235
      q : out std_logic);
236
   end component dfc1b;
237
 
238
   component dfc1c is
239
      port(
240
      d : in std_logic;
241
      clk : in std_logic;
242
      clr : in std_logic;
243
      q : out std_logic);
244
   end component dfc1c;
245
 
246
   component dfc1d is
247
      port(
248
      d : in std_logic;
249
      clk : in std_logic;
250
      clr : in std_logic;
251
      q : out std_logic);
252
   end component dfc1d;
253
 
254
   component dfe1b is
255
      port(
256
      d : in std_logic;
257
      e : in std_logic;
258
      clk : in std_logic;
259
      q : out std_logic);
260
   end component dfe1b;
261
 
262
   component dfe3c is
263
      port(
264
      d : in std_logic;
265
      e : in std_logic;
266
      clk : in std_logic;
267
      clr : in std_logic;
268
      q : out std_logic);
269
   end component dfe3c;
270
 
271
   component dfe4f is
272
      port(
273
      d : in std_logic;
274
      e : in std_logic;
275
      clk : in std_logic;
276
      pre : in std_logic;
277
      q : out std_logic);
278
   end component dfe4f;
279
 
280
   component dfp1 is
281
      port(
282
      d : in std_logic;
283
      clk : in std_logic;
284
      pre : in std_logic;
285
      q : out std_logic);
286
   end component dfp1;
287
 
288
   component dfp1b is
289
      port(
290
      d : in std_logic;
291
      clk : in std_logic;
292
      pre : in std_logic;
293
      q : out std_logic);
294
   end component dfp1b;
295
 
296
   component dfp1d is
297
      port(
298
      d : in std_logic;
299
      clk : in std_logic;
300
      pre : in std_logic;
301
      q : out std_logic);
302
   end component dfp1d;
303
 
304
   component dfm
305
      port(
306
      clk : in std_logic;
307
      s : in std_logic;
308
      a : in std_logic;
309
      b : in std_logic;
310
      q : out std_logic);
311
 
312
 end component;
313
 
314
   component gnd is
315
      port(
316
      y : out std_logic);
317
   end component gnd;
318
 
319
   component inv is
320
      port(
321
      a : in std_logic;
322
      y : out std_logic);
323
   end component inv;
324
 
325
   component nand4 is
326
      port(
327
      a : in std_logic;
328
      b : in std_logic;
329
      c : in std_logic;
330
      d : in std_logic;
331
      y : out std_logic);
332
   end component nand4;
333
 
334
   component or2 is
335
      port(
336
      a : in std_logic;
337
      b : in std_logic;
338
      y : out std_logic);
339
   end component or2;
340
 
341
   component or2a is
342
      port(
343
      a : in std_logic;
344
      b : in std_logic;
345
      y : out std_logic);
346
   end component or2a;
347
 
348
   component or2b is
349
      port(
350
      a : in std_logic;
351
      b : in std_logic;
352
      y : out std_logic);
353
   end component or2b;
354
 
355
   component or3 is
356
      port(
357
      a : in std_logic;
358
      b : in std_logic;
359
      c : in std_logic;
360
      y : out std_logic);
361
   end component or3;
362
 
363
   component or3a is
364
      port(
365
      a : in std_logic;
366
      b : in std_logic;
367
      c : in std_logic;
368
      y : out std_logic);
369
   end component or3a;
370
 
371
   component or3b is
372
      port(
373
      a : in std_logic;
374
      b : in std_logic;
375
      c : in std_logic;
376
      y : out std_logic);
377
   end component or3b;
378
 
379
   component or3c is
380
      port(
381
      a : in std_logic;
382
      b : in std_logic;
383
      c : in std_logic;
384
      y : out std_logic);
385
   end component or3c;
386
 
387
   component or4 is
388
      port(
389
      a : in std_logic;
390
      b : in std_logic;
391
      c : in std_logic;
392
      d : in std_logic;
393
      y : out std_logic);
394
   end component or4;
395
 
396
   component or4a is
397
      port(
398
      a : in std_logic;
399
      b : in std_logic;
400
      c : in std_logic;
401
      d : in std_logic;
402
      y : out std_logic);
403
   end component or4a;
404
 
405
   component or4b is
406
      port(
407
      a : in std_logic;
408
      b : in std_logic;
409
      c : in std_logic;
410
      d : in std_logic;
411
      y : out std_logic);
412
   end component or4b;
413
 
414
   component or4c is
415
      port(
416
      a : in std_logic;
417
      b : in std_logic;
418
      c : in std_logic;
419
      d : in std_logic;
420
      y : out std_logic);
421
   end component or4c;
422
 
423
   component or4d is
424
      port(
425
      a : in std_logic;
426
      b : in std_logic;
427
      c : in std_logic;
428
      d : in std_logic;
429
      y : out std_logic);
430
   end component or4d;
431
 
432
   component sub1 is
433
      port(
434
      a : in std_logic;
435
      b : in std_logic;
436
      fci : in std_logic;
437
      s : out std_logic;
438
      fco : out std_logic);
439
   end component sub1;
440
 
441
   component vcc is
442
      port(
443
      y : out std_logic);
444
   end component vcc;
445
 
446
   component xa1 is
447
      port(
448
      a : in std_logic;
449
      b : in std_logic;
450
      c : in std_logic;
451
      y : out std_logic);
452
   end component xa1;
453
 
454
   component xai1 is
455
   port(
456
   a : in std_logic;
457
   b : in std_logic;
458
   c : in std_logic;
459
   y : out std_logic);
460
   end component;
461
 
462
   component xnor2 is
463
      port(
464
      a : in std_logic;
465
      b : in std_logic;
466
      y : out std_logic);
467
   end component xnor2;
468
 
469
   component xor2 is
470
      port(
471
      a : in std_logic;
472
      b : in std_logic;
473
      y : out std_logic);
474
   end component xor2;
475
 
476
   component xor3 is
477
      port(a,b,c : in std_logic;
478
        y : out std_logic);
479
   end component xor3;
480
 
481
   component xor4 is
482
      port(a,b,c,d : in std_logic;
483
        y : out std_logic);
484
   end component xor4;
485
 
486
component mx2
487
   port(
488
   a : in std_logic;
489
   s : in std_logic;
490
   b : in std_logic;
491
   y : out std_logic);
492
end component;
493
 
494
component mx4 is
495
   port(
496
   d0 : in std_logic;
497
   s0 : in std_logic;
498
   d1 : in std_logic;
499
   s1 : in std_logic;
500
   d2 : in std_logic;
501
   d3 : in std_logic;
502
   y : out std_logic);
503
end component;
504
 
505
 component ax1c
506
    port(
507
        a: in    std_logic;
508
        b: in    std_logic;
509
        c: in    std_logic;
510
        y: out   std_logic);
511
 end component;
512
 
513
component df1b
514
   port(
515
   d : in std_logic;
516
   clk : in std_logic;
517
   q : out std_logic);
518
end component;
519
 
520
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.