OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [tech/] [stratixii/] [simprims/] [stratixii_components.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
-- Copyright (C) 1991-2006 Altera Corporation
2
-- Your use of Altera Corporation's design tools, logic functions 
3
-- and other software and tools, and its AMPP partner logic 
4
-- functions, and any output files any of the foregoing 
5
-- (including device programming or simulation files), and any 
6
-- associated documentation or information are expressly subject 
7
-- to the terms and conditions of the Altera Program License 
8
-- Subscription Agreement, Altera MegaCore Function License 
9
-- Agreement, or other applicable license agreement, including, 
10
-- without limitation, that your use is for the sole purpose of 
11
-- programming logic devices manufactured by Altera and sold by 
12
-- Altera or its authorized distributors.  Please refer to the 
13
-- applicable agreement for further details.
14
 
15
 
16
-- Quartus II 6.0 Build 178 04/27/2006
17
 
18
LIBRARY IEEE;
19
use IEEE.STD_LOGIC_1164.all;
20
use IEEE.VITAL_Timing.all;
21
use work.stratixii_atom_pack.all;
22
 
23
package STRATIXII_COMPONENTS is
24
 
25
--
26
-- STRATIXII_LCELL_FF
27
--
28
 
29
component stratixii_lcell_ff
30
    generic (
31
             x_on_violation : string := "on";
32
             lpm_type : string := "stratixii_lcell_ff";
33
             tsetup_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
34
             tsetup_adatasdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
35
             tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
36
             tsetup_sload_clk_noedge_posedge    : VitalDelayType := DefSetupHoldCnst;
37
             tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
38
             thold_datain_clk_noedge_posedge    : VitalDelayType := DefSetupHoldCnst;
39
             thold_adatasdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
40
             thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
41
             thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
42
             thold_ena_clk_noedge_posedge       : VitalDelayType := DefSetupHoldCnst;
43
             tpd_clk_regout_posedge : VitalDelayType01 := DefPropDelay01;
44
             tpd_aclr_regout_posedge : VitalDelayType01 := DefPropDelay01;
45
             tpd_aload_regout_posedge : VitalDelayType01 := DefPropDelay01;
46
             tpd_adatasdata_regout: VitalDelayType01 := DefPropDelay01;
47
             tipd_clk : VitalDelayType01 := DefPropDelay01;
48
             tipd_datain : VitalDelayType01 := DefPropDelay01;
49
             tipd_adatasdata : VitalDelayType01 := DefPropDelay01;
50
             tipd_sclr : VitalDelayType01 := DefPropDelay01;
51
             tipd_sload : VitalDelayType01 := DefPropDelay01;
52
             tipd_aclr : VitalDelayType01 := DefPropDelay01;
53
             tipd_aload : VitalDelayType01 := DefPropDelay01;
54
             tipd_ena : VitalDelayType01 := DefPropDelay01;
55
             TimingChecksOn: Boolean := True;
56
             MsgOn: Boolean := DefGlitchMsgOn;
57
             XOn: Boolean := DefGlitchXOn;
58
             MsgOnChecks: Boolean := DefMsgOnChecks;
59
             XOnChecks: Boolean := DefXOnChecks;
60
             InstancePath: STRING := "*"
61
            );
62
    port (
63
          datain : in std_logic := '0';
64
          clk : in std_logic := '0';
65
          aclr : in std_logic := '0';
66
          aload : in std_logic := '0';
67
          sclr : in std_logic := '0';
68
          sload : in std_logic := '0';
69
          ena : in std_logic := '1';
70
          adatasdata : in std_logic := '0';
71
          devclrn : in std_logic := '1';
72
          devpor : in std_logic := '1';
73
          regout : out std_logic
74
         );
75
end component;
76
 
77
--
78
-- STRATIXII_LCELL_COMB
79
--
80
 
81
component stratixii_lcell_comb
82
    generic (
83
             lut_mask : std_logic_vector(63 downto 0) := (OTHERS => '1');
84
             shared_arith : string := "off";
85
             extended_lut : string := "off";
86
             lpm_type : string := "stratixii_lcell_comb";
87
             TimingChecksOn: Boolean := True;
88
             MsgOn: Boolean := DefGlitchMsgOn;
89
             XOn: Boolean := DefGlitchXOn;
90
             MsgOnChecks: Boolean := DefMsgOnChecks;
91
             XOnChecks: Boolean := DefXOnChecks;
92
             InstancePath: STRING := "*";
93
             tpd_dataa_combout : VitalDelayType01 := DefPropDelay01;
94
             tpd_datab_combout : VitalDelayType01 := DefPropDelay01;
95
             tpd_datac_combout : VitalDelayType01 := DefPropDelay01;
96
             tpd_datad_combout : VitalDelayType01 := DefPropDelay01;
97
             tpd_datae_combout : VitalDelayType01 := DefPropDelay01;
98
             tpd_dataf_combout : VitalDelayType01 := DefPropDelay01;
99
             tpd_datag_combout : VitalDelayType01 := DefPropDelay01;
100
             tpd_dataa_sumout : VitalDelayType01 := DefPropDelay01;
101
             tpd_datab_sumout : VitalDelayType01 := DefPropDelay01;
102
             tpd_datac_sumout : VitalDelayType01 := DefPropDelay01;
103
             tpd_datad_sumout : VitalDelayType01 := DefPropDelay01;
104
             tpd_dataf_sumout : VitalDelayType01 := DefPropDelay01;
105
             tpd_cin_sumout : VitalDelayType01 := DefPropDelay01;
106
             tpd_sharein_sumout : VitalDelayType01 := DefPropDelay01;
107
             tpd_dataa_cout : VitalDelayType01 := DefPropDelay01;
108
             tpd_datab_cout : VitalDelayType01 := DefPropDelay01;
109
             tpd_datac_cout : VitalDelayType01 := DefPropDelay01;
110
             tpd_datad_cout : VitalDelayType01 := DefPropDelay01;
111
             tpd_dataf_cout : VitalDelayType01 := DefPropDelay01;
112
             tpd_cin_cout : VitalDelayType01 := DefPropDelay01;
113
             tpd_sharein_cout : VitalDelayType01 := DefPropDelay01;
114
             tpd_dataa_shareout : VitalDelayType01 := DefPropDelay01;
115
             tpd_datab_shareout : VitalDelayType01 := DefPropDelay01;
116
             tpd_datac_shareout : VitalDelayType01 := DefPropDelay01;
117
             tpd_datad_shareout : VitalDelayType01 := DefPropDelay01;
118
             tipd_dataa : VitalDelayType01 := DefPropDelay01;
119
             tipd_datab : VitalDelayType01 := DefPropDelay01;
120
             tipd_datac : VitalDelayType01 := DefPropDelay01;
121
             tipd_datad : VitalDelayType01 := DefPropDelay01;
122
             tipd_datae : VitalDelayType01 := DefPropDelay01;
123
             tipd_dataf : VitalDelayType01 := DefPropDelay01;
124
             tipd_datag : VitalDelayType01 := DefPropDelay01;
125
             tipd_cin : VitalDelayType01 := DefPropDelay01;
126
             tipd_sharein : VitalDelayType01 := DefPropDelay01
127
            );
128
 
129
    port (
130
          dataa : in std_logic := '0';
131
          datab : in std_logic := '0';
132
          datac : in std_logic := '0';
133
          datad : in std_logic := '0';
134
          datae : in std_logic := '0';
135
          dataf : in std_logic := '0';
136
          datag : in std_logic := '0';
137
          cin : in std_logic := '0';
138
          sharein : in std_logic := '0';
139
          combout : out std_logic;
140
          sumout : out std_logic;
141
          cout : out std_logic;
142
          shareout : out std_logic
143
         );
144
 
145
end component;
146
 
147
--
148
-- STRATIXII_IO
149
--
150
 
151
component  stratixii_io
152
generic (
153
         operation_mode : string := "input";
154
         ddio_mode : string := "none";
155
         open_drain_output : string := "false";
156
         bus_hold : string := "false";
157
         output_register_mode : string := "none";
158
         output_async_reset : string := "none";
159
         output_power_up : string := "low";
160
         output_sync_reset : string := "none";
161
         tie_off_output_clock_enable : string := "false";
162
         oe_register_mode : string := "none";
163
         oe_async_reset : string := "none";
164
         oe_power_up : string := "low";
165
         oe_sync_reset : string := "none";
166
         tie_off_oe_clock_enable : string := "false";
167
         input_register_mode : string := "none";
168
         input_async_reset : string := "none";
169
         input_power_up : string := "low";
170
         input_sync_reset : string := "none";
171
         extend_oe_disable : string := "false";
172
         dqs_input_frequency : string := "10000 ps";
173
         dqs_out_mode : string := "none";
174
         dqs_delay_buffer_mode : string := "low";
175
         dqs_phase_shift : integer := 0;
176
         inclk_input : string := "normal";
177
         ddioinclk_input : string := "negated_inclk";
178
         dqs_offsetctrl_enable : string := "false";
179
         dqs_ctrl_latches_enable : string := "false";
180
         dqs_edge_detect_enable : string := "false";
181
         gated_dqs : string := "false";
182
         sim_dqs_intrinsic_delay : integer := 0;
183
         sim_dqs_delay_increment : integer := 0;
184
         sim_dqs_offset_increment : integer := 0;
185
         lpm_type : string := "stratixii_io"
186
        );
187
port (
188
      datain          : in std_logic := '0';
189
      ddiodatain      : in std_logic := '0';
190
      oe              : in std_logic := '1';
191
      outclk          : in std_logic := '0';
192
      outclkena       : in std_logic := '1';
193
      inclk           : in std_logic := '0';
194
      inclkena        : in std_logic := '1';
195
      areset          : in std_logic := '0';
196
      sreset          : in std_logic := '0';
197
      ddioinclk       : in std_logic := '0';
198
      delayctrlin     : in std_logic_vector(5 downto 0) := "000000";
199
      offsetctrlin    : in std_logic_vector(5 downto 0) := "000000";
200
      dqsupdateen     : in std_logic := '0';
201
      linkin              : in std_logic := '0';
202
      terminationcontrol : in std_logic_vector(13 downto 0) := "00000000000000";
203
      devclrn         : in std_logic := '1';
204
      devpor          : in std_logic := '1';
205
      devoe           : in std_logic := '0';
206
      padio           : inout std_logic;
207
      combout         : out std_logic;
208
      regout          : out std_logic;
209
      ddioregout      : out std_logic;
210
      dqsbusout           : out std_logic;
211
      linkout             : out std_logic
212
 );
213
end component;
214
 
215
 
216
--
217
-- STRATIXII_CLKCTRL
218
--
219
 
220
component stratixii_clkctrl
221
    generic (
222
             clock_type : STRING := "Auto";
223
             lpm_type : STRING := "stratixii_clkctrl";
224
             TimingChecksOn : Boolean := True;
225
             MsgOn : Boolean := DefGlitchMsgOn;
226
             XOn : Boolean := DefGlitchXOn;
227
             MsgOnChecks : Boolean := DefMsgOnChecks;
228
             XOnChecks : Boolean := DefXOnChecks;
229
             InstancePath : STRING := "*";
230
             tipd_inclk : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
231
             tipd_clkselect : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
232
             tipd_ena : VitalDelayType01 := DefPropDelay01
233
             );
234
 
235
    port (
236
          inclk       : in std_logic_vector(3 downto 0) := "0000";
237
          clkselect   : in std_logic_vector(1 downto 0) := "00";
238
          ena         : in std_logic := '1';
239
          devclrn     : in std_logic := '1';
240
          devpor      : in std_logic := '1';
241
          outclk      : out std_logic
242
         );
243
 
244
end component;
245
--
246
-- STRATIXII_MAC_MULT
247
--
248
 
249
component stratixii_mac_mult
250
  generic
251
    (
252
      dataa_width       : integer := 18;
253
      datab_width       : integer := 18;
254
      dataa_clock       : string := "none";
255
      datab_clock       : string := "none";
256
      signa_clock       : string := "none";
257
      signb_clock       : string := "none";
258
      round_clock       : string := "none";
259
      saturate_clock    : string := "none";
260
      output_clock      : string := "none";
261
      dataa_clear       : string := "none";
262
      datab_clear       : string := "none";
263
      signa_clear       : string := "none";
264
      signb_clear       : string := "none";
265
      round_clear       : string := "none";
266
      saturate_clear    : string := "none";
267
      output_clear      : string := "none";
268
      bypass_multiplier : string := "no";
269
      mode_clock        : string := "none";
270
      zeroacc_clock     : string := "none";
271
      mode_clear        : string := "none";
272
      zeroacc_clear     : string := "none";
273
      signa_internally_grounded : string := "false";
274
      signb_internally_grounded : string := "false";
275
      lpm_hint          : string := "true";
276
      dynamic_mode      : string := "no";
277
      lpm_type          : string := "stratixii_mac_mult"
278
    );
279
 
280
  port
281
    (
282
      dataa                   : IN std_logic_vector(dataa_width-1 DOWNTO 0) := (others => '0');
283
      datab                   : IN std_logic_vector(datab_width-1 DOWNTO 0) := (others => '0');
284
      scanina                 : IN std_logic_vector(dataa_width-1 DOWNTO 0) := (others => '0');
285
      scaninb                 : IN std_logic_vector(datab_width-1 DOWNTO 0) := (others => '0');
286
      sourcea                 : IN std_logic := '0';
287
      sourceb                 : IN std_logic := '0';
288
      signa                   : IN std_logic := '0';
289
      signb                   : IN std_logic := '0';
290
      round                   : IN std_logic := '0';
291
      saturate                : IN std_logic := '0';
292
      clk                     : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
293
      aclr                    : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
294
      ena                     : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
295
      mode                    : IN std_logic := '0';
296
      zeroacc                 : IN std_logic := '0';
297
      dataout                 : OUT std_logic_vector((dataa_width+datab_width)-1 DOWNTO 0);
298
      scanouta                : OUT std_logic_vector(dataa_width-1 DOWNTO 0);
299
      scanoutb                : OUT std_logic_vector(datab_width-1 DOWNTO 0);
300
      devclrn   : in std_logic := '1';
301
      devpor    : in std_logic := '1'
302
    );
303
end component;
304
 
305
--
306
-- STRATIXII_MAC_OUT
307
--
308
 
309
component stratixii_mac_out
310
  generic
311
    (
312
      operation_mode    : string := "output_only";
313
      dataa_width       : integer := 1;
314
      datab_width       : integer := 1;
315
      datac_width       : integer := 1;
316
      datad_width       : integer := 1;
317
      dataout_width     : integer := 144;
318
      addnsub0_clock    : string := "none";
319
      addnsub1_clock    : string := "none";
320
      zeroacc_clock     : string := "none";
321
      round0_clock      : string := "none";
322
      round1_clock      : string := "none";
323
      saturate_clock    : string := "none";
324
      multabsaturate_clock : string := "none";
325
      multcdsaturate_clock : string := "none";
326
      signa_clock       : string := "none";
327
      signb_clock       : string := "none";
328
      output_clock      : string := "none";
329
      addnsub0_clear    : string := "none";
330
      addnsub1_clear    : string := "none";
331
      zeroacc_clear     : string := "none";
332
      round0_clear : string := "none";
333
      round1_clear : string := "none";
334
      saturate_clear : string := "none";
335
      multabsaturate_clear : string := "none";
336
      multcdsaturate_clear : string := "none";
337
      signa_clear       : string := "none";
338
      signb_clear       : string := "none";
339
      output_clear      : string := "none";
340
      addnsub0_pipeline_clock   : string := "none";
341
      addnsub1_pipeline_clock   : string := "none";
342
      round0_pipeline_clock     : string := "none";
343
      round1_pipeline_clock     : string := "none";
344
      saturate_pipeline_clock   : string := "none";
345
      multabsaturate_pipeline_clock : string := "none";
346
      multcdsaturate_pipeline_clock : string := "none";
347
      zeroacc_pipeline_clock    : string := "none";
348
      signa_pipeline_clock      : string := "none";
349
      signb_pipeline_clock      : string := "none";
350
      addnsub0_pipeline_clear   : string := "none";
351
      addnsub1_pipeline_clear   : string := "none";
352
      round0_pipeline_clear     : string := "none";
353
      round1_pipeline_clear     : string := "none";
354
      saturate_pipeline_clear   : string := "none";
355
      multabsaturate_pipeline_clear : string := "none";
356
      multcdsaturate_pipeline_clear : string := "none";
357
      zeroacc_pipeline_clear : string := "none";
358
      signa_pipeline_clear : string := "none";
359
      signb_pipeline_clear : string := "none";
360
      mode0_clock       : string := "none";
361
      mode1_clock       : string := "none";
362
      zeroacc1_clock    : string := "none";
363
      saturate1_clock   : string := "none";
364
      output1_clock     : string := "none";
365
      output2_clock     : string := "none";
366
      output3_clock     : string := "none";
367
      output4_clock     : string := "none";
368
      output5_clock     : string := "none";
369
      output6_clock     : string := "none";
370
      output7_clock     : string := "none";
371
      mode0_clear       : string := "none";
372
      mode1_clear       : string := "none";
373
      zeroacc1_clear    : string := "none";
374
      saturate1_clear   : string := "none";
375
      output1_clear     : string := "none";
376
      output2_clear     : string := "none";
377
      output3_clear     : string := "none";
378
      output4_clear     : string := "none";
379
      output5_clear     : string := "none";
380
      output6_clear     : string := "none";
381
      output7_clear     : string := "none";
382
      mode0_pipeline_clock      : string := "none";
383
      mode1_pipeline_clock      : string := "none";
384
      zeroacc1_pipeline_clock   : string := "none";
385
      saturate1_pipeline_clock  : string := "none";
386
      mode0_pipeline_clear      : string := "none";
387
      mode1_pipeline_clear      : string := "none";
388
      zeroacc1_pipeline_clear   : string := "none";
389
      saturate1_pipeline_clear  : string := "none";
390
      dataa_forced_to_zero      : string := "no";
391
      datac_forced_to_zero      : string := "no";
392
      lpm_hint                  : string := "true";
393
      lpm_type                  : string := "stratixii_mac_out"
394
    );
395
 
396
  port
397
    (
398
      dataa     : in std_logic_vector (dataa_width - 1 downto 0) := (others => '0');
399
      datab     : in std_logic_vector (datab_width - 1 downto 0) := (others => '0');
400
      datac     : in std_logic_vector (datac_width - 1 downto 0) := (others => '0');
401
      datad     : in std_logic_vector (datad_width - 1 downto 0) := (others => '0');
402
      zeroacc   : in std_logic := '0';
403
      addnsub0  : in std_logic := '1';
404
      addnsub1  : in std_logic := '1';
405
      round0    : in std_logic := '0';
406
      round1    : in std_logic := '0';
407
      saturate  : in std_logic := '0';
408
      multabsaturate : in std_logic := '0';
409
      multcdsaturate : in std_logic := '0';
410
      signa     : in std_logic := '1';
411
      signb     : in std_logic := '1';
412
      clk       : in std_logic_vector (3 downto 0) := "0000";
413
      aclr      : in std_logic_vector (3 downto 0) := "0000";
414
      ena       : in std_logic_vector (3 downto 0) := "1111";
415
      mode0     : in std_logic := '0';
416
      mode1     : in std_logic := '0';
417
      zeroacc1  : in std_logic := '0';
418
      saturate1 : in std_logic := '0';
419
      dataout   : out std_logic_vector (dataout_width -1 downto 0);
420
      accoverflow : out std_logic;
421
      devclrn   : in std_logic := '1';
422
      devpor    : in std_logic := '1'
423
      );
424
end component;
425
 
426
--
427
-- STRATIXII_PLL
428
--
429
 
430
COMPONENT stratixii_pll
431
    GENERIC (operation_mode              : string := "normal";
432
             pll_type                    : string := "auto";
433
             compensate_clock            : string := "clk0";
434
             feedback_source             : string := "e0";
435
             qualify_conf_done           : string := "off";
436
 
437
             test_input_comp_delay       : integer := 0;
438
             test_feedback_comp_delay    : integer := 0;
439
 
440
             inclk0_input_frequency      : integer := 10000;
441
             inclk1_input_frequency      : integer := 10000;
442
 
443
             gate_lock_signal            : string := "yes";
444
             gate_lock_counter           : integer := 1;
445
             self_reset_on_gated_loss_lock : string := "off";
446
             valid_lock_multiplier       : integer := 1;
447
             invalid_lock_multiplier     : integer := 5;
448
 
449
             switch_over_type            : string := "auto";
450
             switch_over_on_lossclk      : string := "off";
451
             switch_over_on_gated_lock   : string := "off";
452
             switch_over_counter         : integer := 1;
453
             enable_switch_over_counter  : string := "off";
454
 
455
             bandwidth                   : integer := 0;
456
             bandwidth_type              : string := "auto";
457
             down_spread                 : string := "0 %";
458
             spread_frequency            : integer := 0;
459
 
460
             clk0_output_frequency       : integer := 0;
461
             clk0_multiply_by            : integer := 1;
462
             clk0_divide_by              : integer := 1;
463
             clk0_phase_shift            : string := "0";
464
             clk0_duty_cycle             : integer := 50;
465
 
466
             clk1_output_frequency       : integer := 0;
467
             clk1_multiply_by            : integer := 1;
468
             clk1_divide_by              : integer := 1;
469
             clk1_phase_shift            : string := "0";
470
             clk1_duty_cycle             : integer := 50;
471
 
472
             clk2_output_frequency       : integer := 0;
473
             clk2_multiply_by            : integer := 1;
474
             clk2_divide_by              : integer := 1;
475
             clk2_phase_shift            : string := "0";
476
             clk2_duty_cycle             : integer := 50;
477
 
478
             clk3_output_frequency       : integer := 0;
479
             clk3_multiply_by            : integer := 1;
480
             clk3_divide_by              : integer := 1;
481
             clk3_phase_shift            : string := "0";
482
             clk3_duty_cycle             : integer := 50;
483
 
484
             clk4_output_frequency       : integer := 0;
485
             clk4_multiply_by            : integer := 1;
486
             clk4_divide_by              : integer := 1;
487
             clk4_phase_shift            : string := "0";
488
             clk4_duty_cycle             : integer := 50;
489
 
490
             clk5_output_frequency       : integer := 0;
491
             clk5_multiply_by            : integer := 1;
492
             clk5_divide_by              : integer := 1;
493
             clk5_phase_shift            : string := "0";
494
             clk5_duty_cycle             : integer := 50;
495
 
496
             pfd_min                     : integer := 0;
497
             pfd_max                     : integer := 0;
498
             vco_min                     : integer := 0;
499
             vco_max                     : integer := 0;
500
             vco_center                  : integer := 0;
501
 
502
             -- ADVANCED USE PARAMETERS
503
             m_initial                   : integer := 1;
504
             m                           : integer := 1;
505
             n                           : integer := 1;
506
             m2                          : integer := 1;
507
             n2                          : integer := 1;
508
             ss                          : integer := 0;
509
 
510
             c0_high                     : integer := 1;
511
             c0_low                      : integer := 1;
512
             c0_initial                  : integer := 1;
513
             c0_mode                     : string := "bypass";
514
             c0_ph                       : integer := 0;
515
 
516
             c1_high                     : integer := 1;
517
             c1_low                      : integer := 1;
518
             c1_initial                  : integer := 1;
519
             c1_mode                     : string := "bypass";
520
             c1_ph                       : integer := 0;
521
 
522
             c2_high                     : integer := 1;
523
             c2_low                      : integer := 1;
524
             c2_initial                  : integer := 1;
525
             c2_mode                     : string := "bypass";
526
             c2_ph                       : integer := 0;
527
 
528
             c3_high                     : integer := 1;
529
             c3_low                      : integer := 1;
530
             c3_initial                  : integer := 1;
531
             c3_mode                     : string := "bypass";
532
             c3_ph                       : integer := 0;
533
 
534
             c4_high                     : integer := 1;
535
             c4_low                      : integer := 1;
536
             c4_initial                  : integer := 1;
537
             c4_mode                     : string := "bypass";
538
             c4_ph                       : integer := 0;
539
 
540
             c5_high                     : integer := 1;
541
             c5_low                      : integer := 1;
542
             c5_initial                  : integer := 1;
543
             c5_mode                     : string := "bypass";
544
             c5_ph                       : integer := 0;
545
 
546
             m_ph                        : integer := 0;
547
 
548
             clk0_counter                : string := "c0";
549
             clk1_counter                : string := "c1";
550
             clk2_counter                : string := "c2";
551
             clk3_counter                : string := "c3";
552
             clk4_counter                : string := "c4";
553
             clk5_counter                : string := "c5";
554
 
555
             c1_use_casc_in              : string := "off";
556
             c2_use_casc_in              : string := "off";
557
             c3_use_casc_in              : string := "off";
558
             c4_use_casc_in              : string := "off";
559
             c5_use_casc_in              : string := "off";
560
 
561
             m_test_source               : integer := 5;
562
             c0_test_source              : integer := 5;
563
             c1_test_source              : integer := 5;
564
             c2_test_source              : integer := 5;
565
             c3_test_source              : integer := 5;
566
             c4_test_source              : integer := 5;
567
             c5_test_source              : integer := 5;
568
 
569
             enable0_counter             : string := "c0";
570
             enable1_counter             : string := "c1";
571
             sclkout0_phase_shift        : string := "0";
572
             sclkout1_phase_shift        : string := "0";
573
 
574
             charge_pump_current         : integer := 0;
575
             loop_filter_c               : integer := 1;
576
             loop_filter_r               : string := "1.0" ;
577
             common_rx_tx                : string := "off";
578
             rx_outclock_resource        : string := "auto";
579
             use_vco_bypass              : string := "false";
580
             use_dc_coupling             : string := "false";
581
 
582
 
583
             pll_compensation_delay      : integer := 0;
584
             simulation_type             : string := "functional";
585
             lpm_type                    : string := "stratixii_pll";
586
 
587
             clk0_use_even_counter_mode  : string := "off";
588
             clk1_use_even_counter_mode  : string := "off";
589
             clk2_use_even_counter_mode  : string := "off";
590
             clk3_use_even_counter_mode  : string := "off";
591
             clk4_use_even_counter_mode  : string := "off";
592
             clk5_use_even_counter_mode  : string := "off";
593
 
594
             clk0_use_even_counter_value : string := "off";
595
             clk1_use_even_counter_value : string := "off";
596
             clk2_use_even_counter_value : string := "off";
597
             clk3_use_even_counter_value : string := "off";
598
             clk4_use_even_counter_value : string := "off";
599
             clk5_use_even_counter_value : string := "off";
600
 
601
             vco_multiply_by             : integer := 0;
602
             vco_divide_by               : integer := 0;
603
             vco_post_scale              : integer := 1;
604
 
605
             XOn                         : Boolean := DefGlitchXOn;
606
             MsgOn                       : Boolean := DefGlitchMsgOn;
607
             MsgOnChecks                 : Boolean := DefMsgOnChecks;
608
             XOnChecks                   : Boolean := DefXOnChecks;
609
             TimingChecksOn              : Boolean := true;
610
             InstancePath                : STRING := "*";
611
             tipd_inclk                  : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
612
             tipd_ena                    : VitalDelayType01 := DefPropDelay01;
613
             tipd_pfdena                 : VitalDelayType01 := DefPropDelay01;
614
             tipd_areset                 : VitalDelayType01 := DefPropDelay01;
615
             tipd_fbin                   : VitalDelayType01 := DefPropDelay01;
616
             tipd_scanclk                : VitalDelayType01 := DefPropDelay01;
617
             tipd_scanread               : VitalDelayType01 := DefPropDelay01;
618
             tipd_scanwrite              : VitalDelayType01 := DefPropDelay01;
619
             tipd_scandata               : VitalDelayType01 := DefPropDelay01;
620
             tipd_clkswitch              : VitalDelayType01 := DefPropDelay01;
621
             tsetup_scandata_scanclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
622
             thold_scandata_scanclk_noedge_posedge  : VitalDelayType := DefSetupHoldCnst;
623
             tsetup_scanread_scanclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
624
             thold_scanread_scanclk_noedge_posedge  : VitalDelayType := DefSetupHoldCnst;
625
             tsetup_scanwrite_scanclk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
626
             thold_scanwrite_scanclk_noedge_posedge  : VitalDelayType := DefSetupHoldCnst
627
 
628
            );
629
 
630
    PORT    (inclk          : IN std_logic_vector(1 downto 0);
631
             fbin           : IN std_logic := '0';
632
             ena            : IN std_logic := '1';
633
             clkswitch      : IN std_logic := '0';
634
             areset         : IN std_logic := '0';
635
             pfdena         : IN std_logic := '1';
636
             scanread       : IN std_logic := '0';
637
             scanwrite      : IN std_logic := '0';
638
             scandata       : IN std_logic := '0';
639
             scanclk        : IN std_logic := '0';
640
             testin         : IN std_logic_vector(3 downto 0) := "0000";
641
             clk            : OUT std_logic_vector(5 downto 0);
642
             clkbad         : OUT std_logic_vector(1 downto 0);
643
             activeclock    : OUT std_logic;
644
             locked         : OUT std_logic;
645
             clkloss        : OUT std_logic;
646
             scandataout    : OUT std_logic;
647
             scandone       : OUT std_logic;
648
             testupout      : OUT std_logic;
649
             testdownout    : OUT std_logic;
650
             -- lvds specific ports
651
             enable0        : OUT std_logic;
652
             enable1        : OUT std_logic;
653
             sclkout        : OUT std_logic_vector(1 downto 0)
654
            );
655
END COMPONENT;
656
 
657
--
658
-- STRATIXII_LVDS_TRANSMITTER
659
--
660
 
661
COMPONENT stratixii_lvds_transmitter
662
    GENERIC ( channel_width                    : integer := 10;
663
              bypass_serializer                : String  := "false";
664
              invert_clock                     : String  := "false";
665
              use_falling_clock_edge           : String  := "false";
666
              use_serial_data_input            : String  := "false";
667
              use_post_dpa_serial_data_input   : String  := "false";
668
              preemphasis_setting              : integer := 0;
669
              vod_setting                      : integer := 0;
670
              differential_drive               : integer := 0;
671
              lpm_type                         : String  := "stratixii_lvds_transmitter";
672
              TimingChecksOn                   : Boolean := True;
673
              MsgOn                            : Boolean := DefGlitchMsgOn;
674
              XOn                              : Boolean := DefGlitchXOn;
675
              MsgOnChecks                      : Boolean := DefMsgOnChecks;
676
              XOnChecks                        : Boolean := DefXOnChecks;
677
              InstancePath                     : String  := "*";
678
              tpd_clk0_dataout_posedge         : VitalDelayType01 := DefPropDelay01;
679
              tpd_clk0_dataout_negedge         : VitalDelayType01 := DefPropDelay01;
680
              tpd_serialdatain_dataout         : VitalDelayType01 := DefPropDelay01;
681
              tpd_postdpaserialdatain_dataout  : VitalDelayType01 := DefPropDelay01;
682
              tipd_clk0                        : VitalDelayType01 := DefpropDelay01;
683
              tipd_enable0                     : VitalDelayType01 := DefpropDelay01;
684
              tipd_datain                      : VitalDelayArrayType01(9 downto 0) := (OTHERS => DefpropDelay01);
685
              tipd_serialdatain                : VitalDelayType01 := DefpropDelay01;
686
              tipd_postdpaserialdatain         : VitalDelayType01 := DefpropDelay01
687
             );
688
 
689
    PORT     ( clk0                     : in std_logic;
690
               enable0                  : in std_logic := '0';
691
               datain                   : in std_logic_vector(channel_width - 1 downto 0) := (OTHERS => '0');
692
               serialdatain             : in std_logic := '0';
693
               postdpaserialdatain      : in std_logic := '0';
694
               devclrn                  : in std_logic := '1';
695
               devpor                   : in std_logic := '1';
696
               dataout                  : out std_logic;
697
               serialfdbkout            : out std_logic
698
             );
699
END COMPONENT;
700
 
701
--
702
-- STRATIXII_LVDS_RECEIVER
703
--
704
 
705
COMPONENT stratixii_lvds_receiver
706
    GENERIC ( channel_width                  :  integer := 10;
707
              data_align_rollover            :  integer := 2;
708
              enable_dpa                     :  string := "off";
709
              lose_lock_on_one_change        :  string := "off";
710
              reset_fifo_at_first_lock       :  string := "on";
711
              align_to_rising_edge_only      :  string := "on";
712
              use_serial_feedback_input      :  string := "off";
713
              dpa_debug                      :  string := "off";
714
              x_on_bitslip                   :  string := "on";
715
              lpm_type                       :  string := "stratixii_lvds_receiver";
716
              MsgOn                    : Boolean := DefGlitchMsgOn;
717
              XOn                      : Boolean := DefGlitchXOn;
718
              MsgOnChecks              : Boolean := DefMsgOnChecks;
719
              XOnChecks                : Boolean := DefXOnChecks;
720
              InstancePath             : String := "*";
721
              tipd_clk0                : VitalDelayType01 := DefpropDelay01;
722
              tipd_datain              : VitalDelayType01 := DefpropDelay01;
723
              tipd_enable0             : VitalDelayType01 := DefpropDelay01;
724
              tipd_dpareset            : VitalDelayType01 := DefpropDelay01;
725
              tipd_dpahold             : VitalDelayType01 := DefpropDelay01;
726
              tipd_dpaswitch           : VitalDelayType01 := DefpropDelay01;
727
              tipd_fiforeset           : VitalDelayType01 := DefpropDelay01;
728
              tipd_bitslip             : VitalDelayType01 := DefpropDelay01;
729
              tipd_bitslipreset        : VitalDelayType01 := DefpropDelay01;
730
              tipd_serialfbk           : VitalDelayType01 := DefpropDelay01;
731
              tpd_clk0_dpalock_posedge : VitalDelayType01 := DefPropDelay01
732
            );
733
 
734
    PORT    ( clk0                    : IN std_logic;
735
              datain                  : IN std_logic := '0';
736
              enable0                 : IN std_logic := '0';
737
              dpareset                : IN std_logic := '0';
738
              dpahold                 : IN std_logic := '0';
739
              dpaswitch               : IN std_logic := '0';
740
              fiforeset               : IN std_logic := '0';
741
              bitslip                 : IN std_logic := '0';
742
              bitslipreset            : IN std_logic := '0';
743
              serialfbk               : IN std_logic := '0';
744
              dataout                 : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
745
              dpalock                 : OUT std_logic;
746
              bitslipmax              : OUT std_logic;
747
              serialdataout           : OUT std_logic;
748
              postdpaserialdataout    : OUT std_logic;
749
              devclrn                 : IN std_logic := '1';
750
              devpor                  : IN std_logic := '1'
751
            );
752
 
753
END COMPONENT;
754
--
755
-- STRATIXII_DLL_COMPONENT
756
--
757
 
758
COMPONENT stratixii_dll
759
    GENERIC (
760
    input_frequency          : string := "10000 ps";
761
    delay_chain_length       : integer := 16;
762
    delay_buffer_mode        : string := "low";
763
    delayctrlout_mode        : string := "normal";
764
    static_delay_ctrl        : integer := 0;
765
    offsetctrlout_mode       : string := "static";
766
    static_offset            : string := "0";
767
    jitter_reduction         : string := "false";
768
    use_upndnin              : string := "false";
769
    use_upndninclkena        : string := "false";
770
    sim_valid_lock           : integer := 1;
771
    sim_loop_intrinsic_delay : integer := 1000;
772
    sim_loop_delay_increment : integer := 100;
773
    sim_valid_lockcount      : integer := 90;  -- 10000 = 1000 + 100*dllcounter
774
    lpm_type                 : string := "stratixii_dll";
775
    tipd_clk                 : VitalDelayType01 := DefpropDelay01;
776
    tipd_aload               : VitalDelayType01 := DefpropDelay01;
777
    tipd_offset              : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
778
    tipd_upndnin             : VitalDelayType01 := DefpropDelay01;
779
    tipd_upndninclkena       : VitalDelayType01 := DefpropDelay01;
780
    tipd_addnsub             : VitalDelayType01 := DefpropDelay01;
781
    TimingChecksOn           : Boolean := True;
782
    MsgOn                    : Boolean := DefGlitchMsgOn;
783
    XOn                      : Boolean := DefGlitchXOn;
784
    MsgOnChecks              : Boolean := DefMsgOnChecks;
785
    XOnChecks                : Boolean := DefXOnChecks;
786
    InstancePath             : String := "*";
787
    tpd_offset_delayctrlout  : VitalDelayType01 := DefPropDelay01;
788
    tpd_clk_upndnout_posedge : VitalDelayType01 := DefPropDelay01;
789
    tsetup_offset_clk_noedge_posedge        : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
790
    thold_offset_clk_noedge_posedge         : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
791
    tsetup_upndnin_clk_noedge_posedge       : VitalDelayType := DefSetupHoldCnst;
792
    thold_upndnin_clk_noedge_posedge        : VitalDelayType := DefSetupHoldCnst;
793
    tsetup_upndninclkena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
794
    thold_upndninclkena_clk_noedge_posedge  : VitalDelayType := DefSetupHoldCnst;
795
    tsetup_addnsub_clk_noedge_posedge       : VitalDelayType := DefSetupHoldCnst;
796
    thold_addnsub_clk_noedge_posedge        : VitalDelayType := DefSetupHoldCnst;
797
    tpd_clk_delayctrlout_posedge            : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01)
798
    );
799
 
800
    PORT    ( clk                      : IN std_logic := '0';
801
              aload                    : IN std_logic := '0';
802
              offset                   : IN std_logic_vector(5 DOWNTO 0) := "000000";
803
              upndnin                  : IN std_logic := '0';
804
              upndninclkena            : IN std_logic := '1';
805
              addnsub                  : IN std_logic := '0';
806
              delayctrlout             : OUT std_logic_vector(5 DOWNTO 0);
807
              offsetctrlout            : OUT std_logic_vector(5 DOWNTO 0);
808
              dqsupdate                : OUT std_logic;
809
              upndnout                 : OUT std_logic;
810
              devclrn                  : IN std_logic := '1';
811
              devpor                   : IN std_logic := '1'
812
            );
813
 
814
END COMPONENT;
815
 
816
--
817
--
818
--  STRATIXII_RUBLOCK
819
--
820
--
821
 
822
component  stratixii_rublock
823
        generic
824
        (
825
                operation_mode                  : string := "remote";
826
                sim_init_config                 : string := "factory";
827
                sim_init_watchdog_value : integer := 0;
828
                sim_init_page_select    : integer := 0;
829
                sim_init_status                 : integer := 0;
830
                lpm_type                                : string := "stratixii_rublock"
831
        );
832
        port
833
        (
834
                clk                     : in std_logic;
835
                shiftnld        : in std_logic;
836
                captnupdt       : in std_logic;
837
                regin           : in std_logic;
838
                rsttimer        : in std_logic;
839
                rconfig         : in std_logic;
840
                regout          : out std_logic;
841
                pgmout          : out std_logic_vector(2 downto 0)
842
        );
843
end component;
844
 
845
--
846
-- STRATIXII_TERMINATION_COMPONENT
847
--
848
 
849
COMPONENT stratixii_termination
850
    GENERIC (
851
    runtime_control           : string := "false";
852
    use_core_control          : string := "false";
853
    pullup_control_to_core    : string := "true";
854
    use_high_voltage_compare  : string := "true";
855
    use_both_compares         : string := "false";
856
    pullup_adder              : integer := 0;
857
    pulldown_adder            : integer := 0;
858
    half_rate_clock           : string := "false";
859
    power_down : string       := "true";
860
    left_shift : string       := "false";
861
    test_mode : string        := "false";
862
    lpm_type : string         := "stratixii_termination";
863
 
864
    tipd_rup                  : VitalDelayType01 := DefpropDelay01;
865
    tipd_rdn                  : VitalDelayType01 := DefpropDelay01;
866
    tipd_terminationclock     : VitalDelayType01 := DefpropDelay01;
867
    tipd_terminationclear     : VitalDelayType01 := DefpropDelay01;
868
    tipd_terminationenable    : VitalDelayType01 := DefpropDelay01;
869
    tipd_terminationpullup    : VitalDelayArrayType01(6 downto 0) := (OTHERS => DefPropDelay01);
870
    tipd_terminationpulldown  : VitalDelayArrayType01(6 downto 0) := (OTHERS => DefPropDelay01);
871
 
872
    TimingChecksOn           : Boolean := True;
873
    MsgOn                    : Boolean := DefGlitchMsgOn;
874
    XOn                      : Boolean := DefGlitchXOn;
875
    MsgOnChecks              : Boolean := DefMsgOnChecks;
876
    XOnChecks                : Boolean := DefXOnChecks;
877
    InstancePath             : String := "*";
878
 
879
    tpd_terminationclock_terminationcontrol_posedge       : VitalDelayArrayType01(13 downto 0) := (OTHERS => DefPropDelay01);
880
    tpd_terminationclock_terminationcontrolprobe_posedge  : VitalDelayArrayType01(6 downto 0)  := (OTHERS => DefPropDelay01)
881
    );
882
 
883
    PORT (
884
    rup                      : IN std_logic := '0';
885
    rdn                      : IN std_logic := '0';
886
    terminationclock         : IN std_logic := '0';
887
    terminationclear         : IN std_logic := '0';
888
    terminationenable        : IN std_logic := '1';
889
    terminationpullup        : IN std_logic_vector(6 DOWNTO 0) := "0000000";
890
    terminationpulldown      : IN std_logic_vector(6 DOWNTO 0) := "0000000";
891
    devclrn                  : IN std_logic := '1';
892
    devpor                   : IN std_logic := '0';
893
    incrup                   : OUT std_logic;
894
    incrdn                   : OUT std_logic;
895
    terminationcontrol       : OUT std_logic_vector(13 DOWNTO 0);
896
    terminationcontrolprobe  : OUT std_logic_vector(6 DOWNTO 0)
897
    );
898
 
899
END COMPONENT;
900
 
901
 
902
--
903
-- STRATIXII_ROUTING_WIRE
904
--
905
 
906
component stratixii_routing_wire
907
    generic (
908
             MsgOn : Boolean := DefGlitchMsgOn;
909
             XOn : Boolean := DefGlitchXOn;
910
             tpd_datain_dataout : VitalDelayType01 := DefPropDelay01;
911
             tpd_datainglitch_dataout : VitalDelayType01 := DefPropDelay01;
912
             tipd_datain : VitalDelayType01 := DefPropDelay01
913
            );
914
    PORT (
915
          datain : in std_logic;
916
          dataout : out std_logic
917
         );
918
end component;
919
 
920
--
921
-- STRATIXII_JTAG
922
--
923
 
924
component  stratixii_jtag
925
    generic (
926
            lpm_type    : string := "stratixii_jtag"
927
            );
928
    port    (
929
            tms : in std_logic := '0';
930
            tck : in std_logic := '0';
931
            tdi : in std_logic := '0';
932
            ntrst : in std_logic := '0';
933
            tdoutap : in std_logic := '0';
934
            tdouser : in std_logic := '0';
935
            tdo: out std_logic;
936
            tmsutap: out std_logic;
937
            tckutap: out std_logic;
938
            tdiutap: out std_logic;
939
            shiftuser: out std_logic;
940
            clkdruser: out std_logic;
941
            updateuser: out std_logic;
942
            runidleuser: out std_logic;
943
            usr1user: out std_logic
944
            );
945
end component;
946
 
947
--
948
--
949
--  STRATIXII_CRCBLOCK 
950
--
951
--
952
 
953
component  stratixii_crcblock
954
    generic (
955
            oscillator_divider : integer := 1;
956
            lpm_type : string := "stratixii_crcblock"
957
            );
958
        port    (
959
            clk         : in std_logic := '0';
960
            shiftnld    : in std_logic := '0';
961
            ldsrc       : in std_logic := '0';
962
            crcerror    : out std_logic;
963
            regout      : out std_logic
964
            );
965
end component;
966
--
967
-- STRATIXII_ASMIBLOCK
968
--
969
component  stratixii_asmiblock
970
         generic (
971
                                        lpm_type        : string := "stratixii_asmiblock"
972
                                );
973
    port (
974
          dclkin : in std_logic;
975
                 scein : in std_logic;
976
                 sdoin : in std_logic;
977
                 oe : in std_logic;
978
          data0out: out std_logic
979
         );
980
 
981
end component;
982
 
983
--
984
-- STRATIXII_RAM_BLOCK
985
--
986
 
987
component stratixii_ram_block
988
  generic
989
    (
990
      operation_mode            : string := "single_port";
991
      mixed_port_feed_through_mode : string := "dont_care";
992
      ram_block_type            : string := "auto";
993
      logical_ram_name          : string := "ram_name";
994
      init_file                 : string := "init_file.hex";
995
      init_file_layout          : string := "none";
996
      data_interleave_width_in_bits : integer := 1;
997
      data_interleave_offset_in_bits : integer := 1;
998
      port_a_logical_ram_depth  : integer := 0;
999
      port_a_logical_ram_width  : integer := 0;
1000
      port_a_data_in_clear      : string := "none";
1001
      port_a_address_clear      : string := "none";
1002
      port_a_write_enable_clear : string := "none";
1003
      port_a_data_out_clock     : string := "none";
1004
      port_a_data_out_clear     : string := "none";
1005
      port_a_first_address      : integer := 0;
1006
      port_a_last_address       : integer := 0;
1007
      port_a_first_bit_number   : integer := 0;
1008
      port_a_data_width         : integer := 1;
1009
      port_a_byte_enable_clear  : string := "none";
1010
      port_a_data_in_clock      : string := "clock0";
1011
      port_a_address_clock      : string := "clock0";
1012
      port_a_write_enable_clock : string := "clock0";
1013
      port_a_byte_enable_clock  : string := "clock0";
1014
      port_b_logical_ram_depth  : integer := 0;
1015
      port_b_logical_ram_width  : integer := 0;
1016
      port_b_data_in_clock      : string := "none";
1017
      port_b_data_in_clear      : string := "none";
1018
      port_b_address_clock      : string := "none";
1019
      port_b_address_clear      : string := "none";
1020
      port_b_read_enable_write_enable_clock : string := "none";
1021
      port_b_read_enable_write_enable_clear : string := "none";
1022
      port_b_data_out_clock     : string := "none";
1023
      port_b_data_out_clear     : string := "none";
1024
      port_b_first_address      : integer := 0;
1025
      port_b_last_address       : integer := 0;
1026
      port_b_first_bit_number   : integer := 0;
1027
      port_b_data_width         : integer := 1;
1028
      port_b_byte_enable_clear  : string := "none";
1029
      port_b_byte_enable_clock  : string := "none";
1030
      port_a_address_width      : integer := 1;
1031
      port_b_address_width      : integer := 1;
1032
      port_a_byte_enable_mask_width : integer := 1;
1033
      port_b_byte_enable_mask_width : integer := 1;
1034
      power_up_uninitialized    : string := "false";
1035
      port_a_byte_size : integer := 0;
1036
      port_a_disable_ce_on_input_registers : string := "off";
1037
      port_a_disable_ce_on_output_registers : string := "off";
1038
      port_b_byte_size : integer := 0;
1039
      port_b_disable_ce_on_input_registers : string := "off";
1040
      port_b_disable_ce_on_output_registers : string := "off";
1041
      lpm_type                  : string := "stratixii_ram_block";
1042
      lpm_hint                  : string := "true";
1043
      connectivity_checking     : string := "off";
1044
      mem_init0 : bit_vector := X"0";
1045
      mem_init1 : bit_vector := X"0"
1046
    );
1047
  port
1048
    (
1049
      portawe           : in std_logic := '0';
1050
      portabyteenamasks : in std_logic_vector (port_a_byte_enable_mask_width - 1 DOWNTO 0) := (others => '1');
1051
      portbbyteenamasks : in std_logic_vector (port_b_byte_enable_mask_width - 1 DOWNTO 0) := (others => '1');
1052
      portbrewe         : in std_logic := '0';
1053
      clr0              : in std_logic := '0';
1054
      clr1              : in std_logic := '0';
1055
      clk0              : in std_logic := '0';
1056
      clk1              : in std_logic := '0';
1057
      ena0              : in std_logic := '1';
1058
      ena1              : in std_logic := '1';
1059
      portadatain       : in std_logic_vector (port_a_data_width - 1 DOWNTO 0) := (others => '0');
1060
      portbdatain       : in std_logic_vector (port_b_data_width - 1 DOWNTO 0) := (others => '0');
1061
      portaaddr         : in std_logic_vector (port_a_address_width - 1 DOWNTO 0) := (others => '0');
1062
      portbaddr         : in std_logic_vector (port_b_address_width - 1 DOWNTO 0) := (others => '0');
1063
      portaaddrstall    : in std_logic := '0';
1064
      portbaddrstall    : in std_logic := '0';
1065
      devclrn           : in std_logic := '1';
1066
      devpor            : in std_logic := '1';
1067
      portadataout      : out std_logic_vector (port_a_data_width - 1 DOWNTO 0);
1068
      portbdataout      : out std_logic_vector (port_b_data_width - 1 DOWNTO 0)
1069
    );
1070
end component;
1071
 
1072
 
1073
end stratixii_components;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.