OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [tech/] [umc18/] [components/] [umc_components.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Package:     umc_components
20
-- File:        umc_components.vhd
21
-- Author:      Jiri Gaisler - Gaisler Research
22
-- Description: UMC 0.18 component declarations
23
------------------------------------------------------------------------------
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27
 
28
package umc_components is
29
 
30
  -- input pad
31
 
32
  component ICMT3V port( A : in std_logic; Z : out std_logic); end component;
33
 
34
  -- input pad with pull-up
35
 
36
  component ICMT3VPU port( A : in std_logic; Z : out std_logic); end component;
37
 
38
  -- input pad with pull-down
39
 
40
  component ICMT3VPD port( A : in std_logic; Z : out std_logic); end component;
41
 
42
  -- schmitt input pad
43
 
44
  component ISTRT3V port( A : in std_logic; Z : out std_logic); end component;
45
 
46
  -- output pads
47
 
48
  component OCM3V4 port( Z : out std_logic; A : in std_logic); end component;
49
  component OCM3V12 port( Z : out std_logic; A : in std_logic); end component;
50
  component OCM3V24 port( Z : out std_logic; A : in std_logic); end component;
51
 
52
 
53
  -- tri-state output pads
54
 
55
  component OCMTR4 port( EN : in std_logic; A : in std_logic; Z : out std_logic); end component;
56
  component OCMTR12 port( EN : in std_logic; A : in std_logic; Z : out std_logic); end component;
57
  component OCMTR24 port( EN : in std_logic; A : in std_logic; Z : out std_logic); end component;
58
 
59
  -- bidirectional pads
60
 
61
  component BICM3V4 port( IO : inout std_logic; EN : in std_logic; A : in std_logic; Z : out std_logic); end component;
62
  component BICM3V12 port( IO : inout std_logic; EN : in std_logic; A : in std_logic; Z : out std_logic); end component;
63
  component BICM3V24 port( IO : inout std_logic; EN : in std_logic; A : in std_logic; Z : out std_logic); end component;
64
 
65
  component LVDS_Driver port ( A, Vref, HI : in std_logic; Z, ZN : out std_logic); end component;
66
  component LVDS_Receiver port ( A, AN : in std_logic; Z : out std_logic); end component;
67
  component LVDS_Biasmodule port ( RefR : in std_logic; Vref, HI : out std_logic); end component;
68
 
69
  -- single-port memory
70
 
71
  component SRAM_2048wx32b is
72
  port (
73
        a    : in  std_logic_vector(10 downto 0);
74
        data : in  std_logic_vector(31 downto 0);
75
        csn  : in  std_logic;
76
        wen  : in  std_logic;
77
        oen  : in  std_logic;
78
        q    : out std_logic_vector(31 downto 0);
79
        clk  : in  std_logic
80
       );
81
  end component;
82
 
83
  component SRAM_1024wx32b is
84
  port (
85
        a    : in  std_logic_vector(9 downto 0);
86
        data : in  std_logic_vector(31 downto 0);
87
        csn  : in  std_logic;
88
        wen  : in  std_logic;
89
        oen  : in  std_logic;
90
        q    : out std_logic_vector(31 downto 0);
91
        clk  : in  std_logic
92
       );
93
  end component;
94
 
95
  component SRAM_512wx32b is
96
  port (
97
        a    : in  std_logic_vector(8 downto 0);
98
        data : in  std_logic_vector(31 downto 0);
99
        csn  : in  std_logic;
100
        wen  : in  std_logic;
101
        oen  : in  std_logic;
102
        q    : out std_logic_vector(31 downto 0);
103
        clk  : in  std_logic
104
       );
105
  end component;
106
 
107
  component SRAM_256wx32b is
108
  port (
109
        a    : in  std_logic_vector(7 downto 0);
110
        data : in  std_logic_vector(31 downto 0);
111
        csn  : in  std_logic;
112
        wen  : in  std_logic;
113
        oen  : in  std_logic;
114
        q    : out std_logic_vector(31 downto 0);
115
        clk  : in  std_logic
116
       );
117
  end component;
118
 
119
  component SRAM_128wx32b is
120
  port (
121
        a    : in  std_logic_vector(6 downto 0);
122
        data : in  std_logic_vector(31 downto 0);
123
        csn  : in  std_logic;
124
        wen  : in  std_logic;
125
        oen  : in  std_logic;
126
        q    : out std_logic_vector(31 downto 0);
127
        clk  : in  std_logic
128
       );
129
  end component;
130
 
131
  component SRAM_64wx32b is
132
  port (
133
        a    : in  std_logic_vector(5 downto 0);
134
        data : in  std_logic_vector(31 downto 0);
135
        csn  : in  std_logic;
136
        wen  : in  std_logic;
137
        oen  : in  std_logic;
138
        q    : out std_logic_vector(31 downto 0);
139
        clk  : in  std_logic
140
       );
141
  end component;
142
 
143
  component SRAM_32wx32b is
144
  port (
145
        a    : in  std_logic_vector(4 downto 0);
146
        data : in  std_logic_vector(31 downto 0);
147
        csn  : in  std_logic;
148
        wen  : in  std_logic;
149
        oen  : in  std_logic;
150
        q    : out std_logic_vector(31 downto 0);
151
        clk  : in  std_logic
152
       );
153
  end component;
154
 
155
  component SRAM_2048wx40b is
156
  port (
157
        a    : in  std_logic_vector(10 downto 0);
158
        data : in  std_logic_vector(39 downto 0);
159
        csn  : in  std_logic;
160
        wen  : in  std_logic;
161
        oen  : in  std_logic;
162
        q    : out std_logic_vector(39 downto 0);
163
        clk  : in  std_logic
164
       );
165
  end component;
166
 
167
  component SRAM_1024wx40b is
168
  port (
169
        a    : in  std_logic_vector(9 downto 0);
170
        data : in  std_logic_vector(39 downto 0);
171
        csn  : in  std_logic;
172
        wen  : in  std_logic;
173
        oen  : in  std_logic;
174
        q    : out std_logic_vector(39 downto 0);
175
        clk  : in  std_logic
176
       );
177
  end component;
178
 
179
  component SRAM_512wx40b is
180
  port (
181
        a    : in  std_logic_vector(8 downto 0);
182
        data : in  std_logic_vector(39 downto 0);
183
        csn  : in  std_logic;
184
        wen  : in  std_logic;
185
        oen  : in  std_logic;
186
        q    : out std_logic_vector(39 downto 0);
187
        clk  : in  std_logic
188
       );
189
  end component;
190
 
191
  component SRAM_256wx40b is
192
  port (
193
        a    : in  std_logic_vector(7 downto 0);
194
        data : in  std_logic_vector(39 downto 0);
195
        csn  : in  std_logic;
196
        wen  : in  std_logic;
197
        oen  : in  std_logic;
198
        q    : out std_logic_vector(39 downto 0);
199
        clk  : in  std_logic
200
       );
201
  end component;
202
 
203
  component SRAM_128wx40b is
204
  port (
205
        a    : in  std_logic_vector(6 downto 0);
206
        data : in  std_logic_vector(39 downto 0);
207
        csn  : in  std_logic;
208
        wen  : in  std_logic;
209
        oen  : in  std_logic;
210
        q    : out std_logic_vector(39 downto 0);
211
        clk  : in  std_logic
212
       );
213
  end component;
214
 
215
  component SRAM_64wx40b is
216
  port (
217
        a    : in  std_logic_vector(5 downto 0);
218
        data : in  std_logic_vector(39 downto 0);
219
        csn  : in  std_logic;
220
        wen  : in  std_logic;
221
        oen  : in  std_logic;
222
        q    : out std_logic_vector(39 downto 0);
223
        clk  : in  std_logic
224
       );
225
  end component;
226
 
227
  component SRAM_32wx40b is
228
  port (
229
        a    : in  std_logic_vector(4 downto 0);
230
        data : in  std_logic_vector(39 downto 0);
231
        csn  : in  std_logic;
232
        wen  : in  std_logic;
233
        oen  : in  std_logic;
234
        q    : out std_logic_vector(39 downto 0);
235
        clk  : in  std_logic
236
       );
237
  end component;
238
 
239
end;
240
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.