OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [tech/] [virage/] [vcomponents/] [virage_vcomponents.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Package:     virage_vcomponents
20
-- File:        virage_vcomponents.vhd
21
-- Author:      Jiri Gaisler, Gaisler Research
22
-- Description: Simple simulation models for ACTEL RAM and pads
23
-----------------------------------------------------------------------------
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27
 
28
package virage_vcomponents is
29
 
30
  component hdss1_128x32cm4sw0b
31
  port (
32
    addr, taddr : in std_logic_vector(6 downto 0);
33
    clk         : in std_logic;
34
    di, tdi     : in std_logic_vector(31 downto 0);
35
    do          : out std_logic_vector(31 downto 0);
36
    me, oe, we, tme, twe, awt, biste, toe : in std_logic
37
  );
38
  end component;
39
 
40
  component hdss1_256x32cm4sw0b
41
  port (
42
    addr, taddr : in std_logic_vector(7 downto 0);
43
    clk         : in std_logic;
44
    di, tdi     : in std_logic_vector(31 downto 0);
45
    do          : out std_logic_vector(31 downto 0);
46
    me, oe, we, tme, twe, awt, biste, toe : in std_logic
47
  );
48
  end component;
49
 
50
  component hdss1_512x32cm4sw0b
51
  port (
52
    addr, taddr : in std_logic_vector(8 downto 0);
53
    clk         : in std_logic;
54
    di, tdi     : in std_logic_vector(31 downto 0);
55
    do          : out std_logic_vector(31 downto 0);
56
    me, oe, we, tme, twe, awt, biste, toe : in std_logic
57
  );
58
  end component;
59
 
60
  component hdss1_1024x32cm4sw0b
61
  port (
62
    addr, taddr : in std_logic_vector(9 downto 0);
63
    clk         : in std_logic;
64
    di, tdi     : in std_logic_vector(31 downto 0);
65
    do          : out std_logic_vector(31 downto 0);
66
    me, oe, we, tme, twe, awt, biste, toe : in std_logic
67
  );
68
  end component;
69
 
70
  component hdss1_2048x32cm8sw0b
71
  port (
72
    addr, taddr : in std_logic_vector(10 downto 0);
73
    clk         : in std_logic;
74
    di, tdi     : in std_logic_vector(31 downto 0);
75
    do          : out std_logic_vector(31 downto 0);
76
    me, oe, we, tme, twe, awt, biste, toe : in std_logic
77
  );
78
  end component;
79
 
80
  component hdss1_4096x36cm8sw0b is
81
  port (
82
    addr, taddr : in std_logic_vector(11 downto 0);
83
    clk         : in std_logic;
84
    di, tdi     : in std_logic_vector(35 downto 0);
85
    do          : out std_logic_vector(35 downto 0);
86
    me, oe, we, tme, twe, awt, biste, toe : in std_logic
87
  );
88
  end component;
89
 
90
  component hdss1_16384x8cm16sw0 is
91
  port (
92
    addr        : in std_logic_vector(13 downto 0);
93
    clk         : in std_logic;
94
    di          : in std_logic_vector(7 downto 0);
95
    do          : out std_logic_vector(7 downto 0);
96
    me, oe, we  : in std_logic
97
  );
98
  end component;
99
 
100
  component rfss2_136x32cm2sw0b
101
  port (
102
    addra, taddra : in std_logic_vector(7 downto 0);
103
    addrb, taddrb : in std_logic_vector(7 downto 0);
104
    clka, clkb    : in std_logic;
105
    dia, tdia     : in std_logic_vector(31 downto 0);
106
    dob      : out std_logic_vector(31 downto 0);
107
    mea, wea, tmea, twea, bistea : in std_logic;
108
    meb, oeb, tmeb,  awtb, bisteb, toeb : in std_logic
109
  );
110
  end component;
111
 
112
  component rfss2_168x32cm2sw0b
113
  port (
114
    addra, taddra : in std_logic_vector(7 downto 0);
115
    addrb, taddrb : in std_logic_vector(7 downto 0);
116
    clka, clkb    : in std_logic;
117
    dia, tdia     : in std_logic_vector(31 downto 0);
118
    dob      : out std_logic_vector(31 downto 0);
119
    mea, wea, tmea, twea, bistea : in std_logic;
120
    meb, oeb, tmeb,  awtb, bisteb, toeb : in std_logic
121
  );
122
  end component;
123
 
124
  component hdss2_64x32cm4sw0b
125
  port (
126
    addra, taddra : in std_logic_vector(5 downto 0);
127
    addrb, taddrb : in std_logic_vector(5 downto 0);
128
    clka, clkb    : in std_logic;
129
    dia, tdia     : in std_logic_vector(31 downto 0);
130
    dib, tdib     : in std_logic_vector(31 downto 0);
131
    doa, dob      : out std_logic_vector(31 downto 0);
132
    mea, oea, wea, tmea, twea, awta, bistea, toea : in std_logic;
133
    meb, oeb, web, tmeb, tweb, awtb, bisteb, toeb : in std_logic
134
  );
135
  end component;
136
 
137
  component hdss2_128x32cm4sw0b
138
  port (
139
    addra, taddra : in std_logic_vector(6 downto 0);
140
    addrb, taddrb : in std_logic_vector(6 downto 0);
141
    clka, clkb    : in std_logic;
142
    dia, tdia     : in std_logic_vector(31 downto 0);
143
    dib, tdib     : in std_logic_vector(31 downto 0);
144
    doa, dob      : out std_logic_vector(31 downto 0);
145
    mea, oea, wea, tmea, twea, awta, bistea, toea : in std_logic;
146
    meb, oeb, web, tmeb, tweb, awtb, bisteb, toeb : in std_logic
147
  );
148
  end component;
149
 
150
  component hdss2_256x32cm4sw0b
151
  port (
152
    addra, taddra : in std_logic_vector(7 downto 0);
153
    addrb, taddrb : in std_logic_vector(7 downto 0);
154
    clka, clkb    : in std_logic;
155
    dia, tdia     : in std_logic_vector(31 downto 0);
156
    dib, tdib     : in std_logic_vector(31 downto 0);
157
    doa, dob      : out std_logic_vector(31 downto 0);
158
    mea, oea, wea, tmea, twea, awta, bistea, toea : in std_logic;
159
    meb, oeb, web, tmeb, tweb, awtb, bisteb, toeb : in std_logic
160
  );
161
  end component;
162
 
163
  component hdss2_512x32cm4sw0b
164
  port (
165
    addra, taddra : in std_logic_vector(8 downto 0);
166
    addrb, taddrb : in std_logic_vector(8 downto 0);
167
    clka, clkb    : in std_logic;
168
    dia, tdia     : in std_logic_vector(31 downto 0);
169
    dib, tdib     : in std_logic_vector(31 downto 0);
170
    doa, dob      : out std_logic_vector(31 downto 0);
171
    mea, oea, wea, tmea, twea, awta, bistea, toea : in std_logic;
172
    meb, oeb, web, tmeb, tweb, awtb, bisteb, toeb : in std_logic
173
  );
174
  end component;
175
 
176
  component hdss2_512x38cm4sw0b
177
  port (
178
    addra, taddra : in std_logic_vector(8 downto 0);
179
    addrb, taddrb : in std_logic_vector(8 downto 0);
180
    clka, clkb    : in std_logic;
181
    dia, tdia     : in std_logic_vector(37 downto 0);
182
    dib, tdib     : in std_logic_vector(37 downto 0);
183
    doa, dob      : out std_logic_vector(37 downto 0);
184
    mea, oea, wea, tmea, twea, awta, bistea, toea : in std_logic;
185
    meb, oeb, web, tmeb, tweb, awtb, bisteb, toeb : in std_logic
186
  );
187
  end component;
188
 
189
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.