OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [altera_mf/] [tap_altera_mf.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------   
19
-- Entity:      tap_altera
20
-- File:        tap_altera_gen.vhd
21
-- Author:      Edvin Catovic - Gaisler Research
22
-- Description: Altera TAP controllers wrappers
23
------------------------------------------------------------------------------
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27
-- pragma translate_off
28
library altera_mf;
29
use altera_mf.altera_mf_components.all;
30
use altera_mf.sld_virtual_jtag;
31
-- pragma translate_on
32
 
33
entity altera_tap is
34
port (
35
     tapi_tdo1   : in std_ulogic;
36
     tapi_tdo2   : in std_ulogic;
37
     tapo_tck    : out std_ulogic;
38
     tapo_tdi    : out std_ulogic;
39
     tapo_inst   : out std_logic_vector(7 downto 0);
40
     tapo_rst    : out std_ulogic;
41
     tapo_capt   : out std_ulogic;
42
     tapo_shft   : out std_ulogic;
43
     tapo_upd    : out std_ulogic;
44
     tapo_xsel1  : out std_ulogic;
45
     tapo_xsel2  : out std_ulogic
46
    );
47
end;
48
 
49
architecture rtl of altera_tap is
50
 
51
  signal ir0 : std_logic_vector(7 downto 0);
52
 
53
 component sld_virtual_jtag
54
        generic (
55
                --lpm_hint      :       string := "UNUSED";
56
                --lpm_type      :       string := "sld_virtual_jtag";
57
                sld_auto_instance_index :       string := "NO";
58
                sld_instance_index      :       natural := 0;
59
                sld_ir_width    :       natural := 1;
60
                sld_sim_action  :       string := "UNUSED"
61
                --sld_sim_n_scan        :       natural := 0;
62
                --sld_sim_total_length  :       natural := 0
63
                );
64
        port(
65
                ir_in   :       out std_logic_vector(sld_ir_width-1 downto 0);
66
                ir_out  :       in std_logic_vector(sld_ir_width-1 downto 0);
67
                jtag_state_cdr  :       out std_logic;
68
                jtag_state_cir  :       out std_logic;
69
                jtag_state_e1dr :       out std_logic;
70
                jtag_state_e1ir :       out std_logic;
71
                jtag_state_e2dr :       out std_logic;
72
                jtag_state_e2ir :       out std_logic;
73
                jtag_state_pdr  :       out std_logic;
74
                jtag_state_pir  :       out std_logic;
75
                jtag_state_rti  :       out std_logic;
76
                jtag_state_sdr  :       out std_logic;
77
                jtag_state_sdrs :       out std_logic;
78
                jtag_state_sir  :       out std_logic;
79
                jtag_state_sirs :       out std_logic;
80
                jtag_state_tlr  :       out std_logic;
81
                jtag_state_udr  :       out std_logic;
82
                jtag_state_uir  :       out std_logic;
83
                tck     :       out std_logic;
84
                tdi     :       out std_logic;
85
                tdo     :       in std_logic;
86
                tms     :       out std_logic;
87
                virtual_state_cdr       :       out std_logic;
88
                virtual_state_cir       :       out std_logic;
89
                virtual_state_e1dr      :       out std_logic;
90
                virtual_state_e2dr      :       out std_logic;
91
                virtual_state_pdr       :       out std_logic;
92
                virtual_state_sdr       :       out std_logic;
93
                virtual_state_udr       :       out std_logic;
94
                virtual_state_uir       :       out std_logic
95
        );
96
 end component;
97
 
98
begin
99
 
100
  tapo_capt <= '0'; tapo_upd <= '0'; tapo_rst <= '0';
101
  tapo_xsel1 <= '0'; tapo_xsel2 <= '0';
102
 
103
 
104
  u0 : sld_virtual_jtag
105
    generic map (sld_ir_width => 8,
106
                 sld_auto_instance_index => "NO",
107
                 sld_instance_index => 0)
108
    port map (ir_in   => tapo_inst,
109
              ir_out  => ir0,
110
              jtag_state_cdr  => open,
111
              jtag_state_cir  => open,
112
              jtag_state_e1dr => open,
113
              jtag_state_e1ir => open,
114
              jtag_state_e2dr => open,
115
              jtag_state_e2ir => open,
116
              jtag_state_pdr  => open,
117
              jtag_state_pir  => open,
118
              jtag_state_rti  => open,
119
              jtag_state_sdr  => open,
120
              jtag_state_sdrs => open,
121
              jtag_state_sir  => open,
122
              jtag_state_sirs => open,
123
              jtag_state_tlr  => open,
124
              jtag_state_udr  => open,
125
              jtag_state_uir  => open,
126
              tck             => tapo_tck,
127
              tdi             => tapo_tdi,
128
              tdo             => tapi_tdo1,
129
              tms             => open,
130
              virtual_state_cdr  => open,
131
              virtual_state_cir  => open,
132
              virtual_state_e1dr => open,
133
              virtual_state_e2dr => open,
134
              virtual_state_pdr  => open,
135
              virtual_state_sdr  => tapo_shft,
136
              virtual_state_udr  => open,
137
              virtual_state_uir  => open);
138
 
139
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.